CN103811415B - 具有改进的形貌控制的衬底通孔形成 - Google Patents

具有改进的形貌控制的衬底通孔形成 Download PDF

Info

Publication number
CN103811415B
CN103811415B CN201310100067.8A CN201310100067A CN103811415B CN 103811415 B CN103811415 B CN 103811415B CN 201310100067 A CN201310100067 A CN 201310100067A CN 103811415 B CN103811415 B CN 103811415B
Authority
CN
China
Prior art keywords
substrate
inter
metal
vias
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310100067.8A
Other languages
English (en)
Other versions
CN103811415A (zh
Inventor
林咏淇
陈怡秀
杨固峰
邱文智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103811415A publication Critical patent/CN103811415A/zh
Application granted granted Critical
Publication of CN103811415B publication Critical patent/CN103811415B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

具有改进的形貌控制的衬底通孔形成。一种器件包括衬底和位于衬底上方的互连结构。互连结构包括层间电介质(ILD)和形成在ILD上方的第一金属间电介质(IMD)。在IMD处形成延伸穿过互连结构至衬底中第一深度的衬底通孔(TSV)。在IMD处形成邻接TSV并延伸至互连结构中第二深度的金属焊盘,其中第二深度小于第一深度。通过金属焊盘形成与TSV的连接件。

Description

具有改进的形貌控制的衬底通孔形成
技术领域
本发明涉及集成电路,更具体而言,涉及衬底通孔及其形成方法。
背景技术
三维集成电路(3DIC)和堆叠管芯通常用于减小集成电路的总尺寸。3DIC和堆叠管芯使用衬底通孔(TSV,有时也被称为硅通孔或者贯孔)将一个单独的管芯经由其背面连接至另一管芯。通过利用垂直空间,3DIC和堆叠管芯能够减少集成电路的整体足迹(overallfootprint)。此外,使用TSV以通过管芯的背面形成短的接地路径,该路径通常被接地的铝膜覆盖。然而,随着技术的改进,集成电路和其构成部件的尺寸持续减小,从而使得控制管芯中层的形貌变得日益重要。
在典型的管芯中,提供了衬底,其包括诸如晶体管的有源器件;在衬底上方形成层间电介质(ILD),然后在ILD上方形成任意数目的金属间电介质(IMD),金属间电介质包括用于执行逻辑功能的金属沟槽/通孔。在3DIC或者堆叠管芯中,在ILD或者IMD处还可以形成TSV。ILD充当衬底中的有源器件和IMD中的金属沟槽/通孔之间的阻挡层。ILD阻止IMD中的金属微粒扩散至衬底中并且ILD对于衬底中有源器件的正常运行是至关重要的。
当在ILD层形成TSV时,TSV可以被后续的IMD层中的衬层和金属焊盘覆盖。衬层和金属焊盘用于形成平坦的顶面,从而于TSV形成稳定的连接。使用这种方法,ILD常常遭受由TSV的形成工艺引起的腐蚀和凹陷(dishing)所造成的损失。对先进的技术来说,ILD损失尤其成问题,在先进的技术中管芯部件如此紧凑和精密使得这种损失的容差非常有限。而且,衬层的使用使得TSV和上覆的金属焊盘之间产生高接触电阻。另一方面,由于TSV的尺寸和晶粒生长,TSV在IMD层处的形成遇到了不平坦形貌的问题。这种不平坦形貌可能导致与TSV的连接断开和不稳定。
发明内容
为了解决现有技术中存在的问题,根据本发明的一方面,提供了一种方法,包括:在晶圆中在半导体衬底上方形成互连结构,其中所述互连结构包括层间电介质(ILD)和位于所述ILD上方的金属间电介质(IMD);在所述晶圆中在所述IMD处形成延伸至所述互连结构和所述半导体衬底中第一深度的第一衬底通孔(TSV)开口;在所述晶圆中在所述IMD处形成邻接所述第一TSV开口并且延伸至所述互连结构中第二深度的第二TSV开口,其中所述第二深度小于所述第一深度;以及用金属材料填充所述第一TSV开口和所述第二TSV开口以形成TSV。
所述的方法进一步包括:通过所述TSV的对应于所述第二TSV开口的区域形成与所述TSV的连接件的步骤。
在所述的方法中,所述第二深度小于约1μm。
在所述的方法中,在所述IMD处形成第一TSV开口的步骤包括:在第一IMD上方形成第一光刻胶层并且图案化所述光刻胶层从而使得所述光刻胶层包括具有第一宽度的第一光刻胶开口;以及在所述IMD处形成第二TSV开口的步骤包括:在所述第一IMD上方形成第二光刻胶层并且图案化所述光刻胶以形成具有第二宽度的第二光刻胶开口,所述第二光刻胶开口与所述第一TSV开口叠置。在一个实施例中,所述第一光刻胶层和所述第二光刻胶层是同一光刻胶层。在另一个实施例中,所述第一宽度小于所述第二宽度。
在所述的方法中,所述IMD层包含伪金属。
在所述的方法中,以基本上由矩形、圆形、椭圆形和它们的组合所构成的图案来配置所述第二TSV开口。
根据本发明的另一方面,提供了一种方法,包括:在晶圆中在半导体衬底上方形成互连结构,其中所述互连结构包括:层间电介质(ILD)和形成在所述ILD上方的第一金属间电介质(IMD),其中所述第一IMD包括第一金属部件;在所述晶圆中在所述第一IMD处形成延伸至所述互连结构和所述半导体衬底中的衬底通孔(TSV);在所述第一IMD上方形成第二IMD,其中所述第二IMD包括第二金属部件;在所述第二IMD中形成延伸至所述第一IMD并且与所述TSV叠置的开口;在所述开口中形成衬层,其中所述衬层覆盖所述开口的底面和侧壁;以及将金属材料沉积至所述开口中以形成焊盘。
在所述的方法中,所述第一IMD是IMD通孔层并且所述第一金属部件是使用单镶嵌工艺形成的通孔;以及所述第二IMD是IMD沟槽层并且所述第二金属部件是使用单镶嵌工艺形成的沟槽。
在所述的方法中,所述第一IMD是IMD沟槽层并且所述金属部件是沟槽;以及所述第二IMD是IMD通孔层并且所述第二金属部件是通孔。在一个实施例中,同时执行在所述第二IMD处形成所述通孔的步骤和将金属材料沉积至所述开口中以形成焊盘的步骤。
在所述的方法中,以基本上由矩形、圆形、椭圆形和它们的组合构成的图案来配置所述开口。
在所述的方法中,以狭槽图案配置所述开口。
在所述的方法中,所述第一IMD层包含伪金属。
在所述的方法中,所述衬层是选自基本上由钛、氮化钛、钽、氮化钽和它们的组合构成的组中的材料。
根据本发明的又一方面,提供了一种器件,包括:半导体衬底;互连结构,位于所述半导体衬底上方,所述互连结构包括:层间电介质(ILD);和位于所述ILD上方的金属间电介质(IMD);衬底通孔(TSV),形成为基本上与所述IMD的顶面共面,所述TSV延伸至所述互连结构和所述衬底中第一深度;以及金属焊盘,形成为与所述TSV的顶面和所述IMD的顶面基本上共面,所述金属焊盘进一步邻接所述TSV并且延伸至所述互连结构中第二深度,其中所述第二深度小于所述第一深度。
在所述的器件中,所述TSV和所述金属焊盘由相同的金属材料形成并且形成不间断的金属区域。
所述的器件进一步包括:通过所述金属焊盘形成与所述TSV的连接件。
在所述的器件中,所述第二深度小于约1μm。
附图说明
为更加充分地理解本发明实施例及其优点,现在将结合附图所作的以下描述作为参考,其中:
图1A至图1G是根据各种实施例处于制造包括TSV和TSV的形貌上平坦的部分的晶圆的中间阶段的截面图;
图2和图3示出根据各种实施例的图1A至图1G的晶圆中的TSV的俯视图;
图4A至图4D是根据各种实施例处于制造包括TSV和覆在TSV上方的金属焊盘的晶圆的中间阶段的截面图;
图5示出根据各种实施例包括TSV和覆在TSV上方的金属焊盘的另一晶圆的截面图;以及
图5A和图5B示出根据各种实施例的图5的晶圆中的金属焊盘的俯视图。
具体实施方式
在下面详细讨论本发明实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的发明构思。所论述的具体实施例仅仅是制造和使用本发明的主题的示例性具体方式,而不用于限制不同实施例的范围。
现参照图1A,提供了包括衬底102的晶圆100。衬底102优选为诸如块状硅衬底的半导体衬底,但是其也可以包括诸如III族、IV族和/或V族元素的其他半导体材料。集成电路110(示出为晶体管)和隔离区112形成在衬底102的顶面上。互连结构104形成在衬底102的顶面上方。互连结构104包括由k值例如小于约4.0的低k介电材料形成的层间电介质(ILD)106。接触插塞114由钨或者其他类似的金属材料形成,其可以形成在ILD106内。而且,互连结构104包括含有沟槽/通孔116的金属间电介质(IMD)108。IMD108可以由具有低k值(例如小于约4.0)的低k介电材料形成。IMD108和ILD106可以是例如氧化硅、SiCOH等。沟槽/通孔116可以由铜或者铜合金形成,但是也可以由诸如铝、银、金或者它们的组合的其他金属形成。这些附图中所描述的实施例示出互连结构104只具有一层IMD层108。可以预期在其他实施例中互连结构104将具有多层IMD层。
图1B示出光刻胶层118形成在晶圆100中的IMD108的顶面上方。使用已知的光刻技术图案化光刻胶层118以在IMD108上方形成具有宽度W1的开口。
参照图1C,使用已知的蚀刻技术实施第一蚀刻以形成开口120,其延伸穿过互连结构104至衬底102中的深度为D1。在本发明的一个实施例中,在第一蚀刻工艺之后去除光刻胶层118。
图1D示出第二光刻胶层122形成在晶圆100中的IMD108的顶面上。然后图案化光刻胶层122以具有开口124,其与开口120叠置。开口124具有宽度W2。通常,宽度W2将大于宽度W1。例如,在实施例中,宽度W1可以介于约5μm和10μm之间,而宽度W2可以介于约5.5μm和20μm之间。
参照图1E,实施第二蚀刻,使开口120扩展至包括开口126。该第二蚀刻延伸至互连结构104中,延伸了深度D2。深度D2小于第一蚀刻期间形成的深度D1。第二蚀刻未延伸至衬底102中。在典型的实施例中,深度D2可以小于约1μm。虽然开口126被示出为延伸至ILD106的顶部,但是可以预期在其他实施例中开口126未到达ILD106。在该第二蚀刻之后,去除光刻胶层122。
在本发明的可选实施例中,在第一蚀刻工艺之后光刻胶层118留在IMD108上。在该可选的实施例中,在第一蚀刻之后,光刻胶层118经历第二图案化工艺。该图案化工艺去除与上述光刻胶层122中所去除的那些区域类似的区域。然后实施与上文所述第二蚀刻相似的第二蚀刻,然后去除光刻胶层118。
在图1F中,用金属材料填充开口120和开口126,形成衬底通孔(TSV)128。在各种实施例中,填充材料包括铜或者铜合金,但是也可以使用其他金属,诸如铝、银、金和它们的组合。TSV128的形成方法可以包括印刷、电镀、化学镀等。如本领域中已知的,在填充之前,可以在开口120和126内形成阻挡层、衬层和/或晶种层(未示出)。在填充开口120之后,使用公知的化学机械抛光(CMP)方法平坦化金属材料以去除过量的材料。通过在IMD108而不是ILD106的顶面形成TSV128,避免了由CMP工艺引起的腐蚀和凹陷导致的ILD损失。
而且,在IMD层的平坦化实现在CMP工艺期间使用伪金属。伪金属是非功能性部件,其对晶圆的逻辑设计不起作用。在CMP工艺期间,这些伪金属充当缓冲器件从而实现在CMP期间对腐蚀和凹陷有更好的控制。此外,表面的金属密度直接影响通过CMP平坦化表面的速度。不同的金属密度可能导致在平坦化之后形成不平坦的形貌。为解决这个问题,使用伪金属来填充低金属密度区域并且在整个表面上形成相同的金属密度。因此,在IMD层中含有伪金属有助于CMP工艺是本领域中已知的。然而,通常在ILD层中不能使用伪金属。通过在IMD108处形成TSV128,可以在CMP期间使用伪金属。因此,在IMD108处引起的腐蚀和凹陷将会少于由类似的CMP工艺在ILD106处引起的腐蚀和凹陷。
图1G示出与图1F中的晶圆相同的晶圆100。然而,为清楚起见,示出的TSV128被分成两个区域130和132。注意到,在TSV128中,区域130的顶面在形貌上比区域132的顶面更平坦。形貌上的这种差异是由于延伸深度D2的区域130相对浅于延伸深度D1的区域132。已观察到,通过使深度D2保持在1μm以下,区域130的表面形貌就可以保持相对平坦。形成连接这个在形貌上更平坦的区域130和TSV128的任何连接件(未示出)。通过连接至这个在形貌上平坦的区域130可以避免涉及断开连接的问题,从而实现与TSV128更加可靠的连接。该方法还消除了由位于在ILD106处形成的TSV和IMD108中的任何上覆的金属部件之间的任何衬层、阻挡层或者晶种层引起的高接触电阻。
现参照图2,示出TSV128的俯视图。区域130被示为围绕区域132,区域132相当于TSV128的区域。可以形成连接区域130与TSV128的任何连接件。虽然图2示出区域130是矩形,但是在其他实施例中区域130预期可以使用诸如圆形或者卵形的可选形状。而且,区域130不必如图2所示围绕区域132。区域130仅需要邻接区域132。例如,图3示出可选的实施例,其中区域130是卵形并且仅邻接区域132而不是围绕区域132。
在图1A至图1G示出的实施例中,TSV128被示出为形成在IMD108上。IMD108是在互连结构104中的ILD106上方形成的第一IMD层。在本发明的其他实施例中,预期在互连结构104中的其他IMD层(未示出)上形成TSV128。
现参照图4A,示出本发明的可选实施例。图4A示出晶圆200。晶圆200包括衬底202和互连结构204。互连结构204包括ILD206。衬底202和ILD层206与上述晶圆100中的衬底102和ILD层106基本上相似。因此,省略对这些部件的详细描述。
使用与双镶嵌工艺相反的单镶嵌工艺来形成晶圆200。在典型的双镶嵌工艺中,同时形成层中的通孔和沟槽;因此,典型的IMD层可以同时包括沟槽和通孔。在单镶嵌工艺中,分别形成沟槽和通孔。因此互连结构204包括分别作为以下层形成的IMD层:包含沟槽212的沟槽层208和包含通孔214的通孔层210。IMD层208和210可以全部都由低k介电材料形成,例如掺杂硅玻璃、SiCOH、SiON等。沟槽212和通孔214可以由铜、铜合金或者它们的任意组合形成。图4A示出的本发明实施例仅包括一层IMD沟槽层和一层IMD通孔层。然而,在其他实施例中,互连结构204可以包括任意数目的IMD沟槽层和交替的IMD通孔层。
晶圆200还包括TSV216。TSV216类似于晶圆100中的TSV128的区域132。TSV216不包括TSV128中被称为区域130的部分。使用与形成TSV128基本相似的工艺来形成TSV216。因此,省略关于形成TSV216的深入论述。因为TSV216形成在IMD通孔层210中,所以避免了由CMP工艺引起的凹陷和腐蚀导致的ILD损失。而且,由于TSV216形成在IMD210处,因此可以使用伪金属来助于CMP工艺。虽然示出的TSV216形成在衬底202上方的第一通孔层上,但是TSV216可以形成在互连结构204中的任何其他的IMD通孔层(未示出)上。
现参照图4B,另一IMD沟槽层218形成在IMD通孔层210的顶面上。IMD沟槽层218可以由与用于形成IMD208和210的低k介电材料相类似的低k介电材料形成。IMD218包含沟槽222,其类似于IMD208中的沟槽212。开口220形成在IMD沟槽层218中以位于TSV216上方。可以使用例如与先前所描述的相似的光刻和蚀刻的组合来形成开口220。
图4C示出在开口220中衬层224的形成。衬层224覆盖开口220的横向面和竖向面。衬层224可以由钛、氮化钛、钽、氮化钽或者其他类似材料形成。
现参照图4D,然后填充开口220以形成金属焊盘226。可以使用与用于形成沟槽212和222相同的金属材料来形成焊盘226。由于衬层224覆在TSV216上方,因此焊盘226的顶面在形貌上是平坦的。衬层224还用来阻止来自焊盘226的金属微粒迁移到IMD218中的邻近介电材料中。可以通过形貌上平坦的焊盘226来形成与TSV216的可靠连接。虽然本实施例描述了分别形成沟槽222和焊盘226,但是也可以同时形成这些部件。
图5示出本发明的另一可选实施例。图5示出晶圆300,使用类似于形成晶圆200的单镶嵌工艺来形成晶圆300。晶圆300包含衬底302和互连结构304。互连结构304包含ILD306;IMD沟槽层308和312;以及IMD通孔层310。晶圆300的形成工艺类似于晶圆200;因此,省略了对该工艺的详细描述。然而,应该注意晶圆300和晶圆200以及它们对应的部件之间的几个关键区别。
在IMD沟槽层308处形成TSV314。在IMD通孔层未形成TSV314。在形成TSV314之后,形成包含焊盘318和通孔320的IMD通孔层310。而且,形成围绕焊盘318的衬层316。可以使用单镶嵌工艺同时形成焊盘318和通孔320。由于衬层316覆在TSV314上方,因此焊盘318的顶面在形貌上是平坦的。衬层316类似于晶圆200中的衬层224。可以在IMD通孔层310上形成更多的IMD层,诸如图5所示的IMD沟槽层312。
因为可以同时形成焊盘318和通孔320,所以焊盘318被配置成遵守与通孔形成相关的任何设计规则限制。现参照图5A,示出IMD通孔层310中的焊盘318的俯视图。圆形区域322对应于TSV314的位置。焊盘318被示出为覆在区域322上方。在图5A示出的实施例中,以狭槽配置示出焊盘318。可选地,可以作为实心方形(如图5B所示);以网格图案(未示出)或者任何其他合适的配置来配置焊盘318。可以改变焊盘318的配置从而遵守关于IMD通孔层310的任何设计规则限制。
在其他实施例中,可以在互连结构304中的任何其他IMD沟槽层形成TSV314。在这些可选的实施例中,覆盖件318形成在位于IMD沟槽层正上方的IMD通孔层上,在该IMD沟槽层中形成TSV314。而且,在其他实施例中,使用双镶嵌工艺可以同时形成IMD通孔层310和IMD沟槽层312。在这样的情况下,衬层316可以延伸至IMD沟槽层312中并且向上延伸至与焊盘318叠置的任何沟槽的侧壁。
尽管已经详细地描述了本发明实施例及其优势,但应该理解,可以在不背离所附权利要求限定的本发明的构思和范围的情况下,进行各种改变、替换和更改。
而且,本申请的范围预期并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员根据本发明应很容易理解,根据本发明可以利用现有的或今后开发的用于执行与本文所述相应实施例基本上相同的功能或者获得基本上相同的结果的工艺、机器、制造、材料组分、装置、方法或步骤。因此,所附权利要求预期在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。

Claims (20)

1.一种制造半导体器件的方法,包括:
在晶圆中在半导体衬底上方形成互连结构,其中所述互连结构包括层间电介质(ILD)和位于所述层间电介质上方的金属间电介质(IMD);
在所述晶圆中在所述金属间电介质处形成延伸至所述互连结构和所述半导体衬底中第一深度的第一衬底通孔(TSV)开口;
在所述晶圆中在所述金属间电介质处形成邻接所述第一衬底通孔开口并且延伸至所述互连结构中第二深度的第二衬底通孔开口,其中所述第二深度小于所述第一深度;以及
用金属材料填充所述第一衬底通孔开口和所述第二衬底通孔开口以形成衬底通孔;
其中,所述衬底通孔包括第一区域和第二区域,所述第一区域对应于所述第一衬底通孔开口,所述第二区域对应于所述第二衬底通孔开口,所述第一区域与所述第二区域共平面,且所述第二区域的顶面在形貌上比第一区域的顶面更平坦。
2.根据权利要求1所述的方法,进一步包括:通过所述衬底通孔的对应于所述第二衬底通孔开口的区域形成与所述衬底通孔的连接件的步骤。
3.根据权利要求1所述的方法,其中,所述第二深度小于1μm。
4.根据权利要求1所述的方法,其中,
在所述金属间电介质处形成第一衬底通孔开口的步骤包括:在第一金属间电介质上方形成第一光刻胶层并且图案化所述光刻胶层从而使得所述光刻胶层包括具有第一宽度的第一光刻胶开口;以及
在所述金属间电介质处形成第二衬底通孔开口的步骤包括:在所述第一金属间电介质上方形成第二光刻胶层并且图案化所述光刻胶以形成具有第二宽度的第二光刻胶开口,所述第二光刻胶开口与所述第一衬底通孔开口叠置。
5.根据权利要求4所述的方法,其中,所述第一光刻胶层和所述第二光刻胶层是同一光刻胶层。
6.根据权利要求4所述的方法,其中,所述第一宽度小于所述第二宽度。
7.根据权利要求1所述的方法,其中,所述金属间电介质层包含伪金属。
8.根据权利要求1所述的方法,其中,以由矩形、圆形、椭圆形或者它们的组合所构成的图案来配置所述第二衬底通孔开口。
9.一种制造半导体器件的方法,包括:
在晶圆中在半导体衬底上方形成互连结构,其中所述互连结构包括:层间电介质(ILD)和形成在所述层间电介质上方的第一金属间电介质(IMD),其中所述第一金属间电介质包括第一金属部件;
在所述晶圆中在所述第一金属间电介质处形成延伸至所述互连结构和所述半导体衬底中的衬底通孔(TSV);
在所述第一金属间电介质上方形成第二金属间电介质,其中所述第二金属间电介质包括第二金属部件;
在所述第二金属间电介质中形成延伸至所述第一金属间电介质并且与所述衬底通孔叠置的开口;
在所述开口中形成衬层,其中所述衬层覆盖所述开口的底面和侧壁;以及
将金属材料沉积至所述开口中以形成焊盘。
10.根据权利要求9所述的方法,其中,
所述第一金属间电介质是金属间电介质通孔层并且所述第一金属部件是使用单镶嵌工艺形成的通孔;以及
所述第二金属间电介质是金属间电介质沟槽层并且所述第二金属部件是使用单镶嵌工艺形成的沟槽。
11.根据权利要求9所述的方法,其中,
所述第一金属间电介质是金属间电介质沟槽层并且所述金属部件是沟槽;以及
所述第二金属间电介质是金属间电介质通孔层并且所述第二金属部件是通孔。
12.根据权利要求11所述的方法,其中,同时执行在所述第二金属间电介质处形成所述通孔的步骤和将金属材料沉积至所述开口中以形成焊盘的步骤。
13.根据权利要求9所述的方法,其中,以由矩形、圆形、椭圆形或者它们的组合构成的图案来配置所述开口。
14.根据权利要求9所述的方法,其中,以狭槽图案配置所述开口。
15.根据权利要求9所述的方法,其中,所述第一金属间电介质层包含伪金属。
16.根据权利要求9所述的方法,其中,所述衬层是选自由钛、氮化钛、钽、氮化钽或者它们的组合构成的组中的材料。
17.一种半导体器件,包括:
半导体衬底;
互连结构,位于所述半导体衬底上方,所述互连结构包括:
层间电介质(ILD);和
位于所述层间电介质上方的金属间电介质(IMD);
衬底通孔(TSV),形成为与所述金属间电介质的顶面共面,所述衬底通孔延伸至所述互连结构和所述衬底中第一深度;以及
金属焊盘,形成为与所述衬底通孔的顶面和所述金属间电介质的顶面共面,所述金属焊盘进一步邻接所述衬底通孔并且延伸至所述互连结构中第二深度,其中所述第二深度小于所述第一深度;
其中,所述衬底通孔与所述金属焊盘直接接触,并且所述金属焊盘的顶面在形貌上比所述衬底通孔的顶面更平坦。
18.根据权利要求17所述的半导体器件,其中,所述衬底通孔和所述金属焊盘由相同的金属材料形成并且形成不间断的金属区域。
19.根据权利要求17所述的半导体器件,进一步包括:通过所述金属焊盘形成与所述衬底通孔的连接件。
20.根据权利要求17所述的半导体器件,其中,所述第二深度小于1μm。
CN201310100067.8A 2012-11-15 2013-03-26 具有改进的形貌控制的衬底通孔形成 Active CN103811415B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/678,113 US9064850B2 (en) 2012-11-15 2012-11-15 Through-substrate via formation with improved topography control
US13/678,113 2012-11-15

Publications (2)

Publication Number Publication Date
CN103811415A CN103811415A (zh) 2014-05-21
CN103811415B true CN103811415B (zh) 2016-12-28

Family

ID=50680947

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310100067.8A Active CN103811415B (zh) 2012-11-15 2013-03-26 具有改进的形貌控制的衬底通孔形成

Country Status (2)

Country Link
US (2) US9064850B2 (zh)
CN (1) CN103811415B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US9093503B1 (en) * 2014-01-03 2015-07-28 International Business Machines Corporation Semiconductor chip with a dual damascene wire and through-substrate via (TSV) structure
US9666566B1 (en) 2016-04-26 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and method for hybrid bonding semiconductor wafers
US11410908B2 (en) * 2018-06-26 2022-08-09 Intel Corporation Integrated circuit devices with front-end metal structures
US11798883B2 (en) 2021-04-08 2023-10-24 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US11876047B2 (en) 2021-09-14 2024-01-16 International Business Machines Corporation Decoupled interconnect structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265319B1 (en) * 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
CN101556944A (zh) * 2007-12-21 2009-10-14 台湾积体电路制造股份有限公司 形成穿透硅通孔的结构和工艺
CN102446830A (zh) * 2010-09-30 2012-05-09 台湾积体电路制造股份有限公司 形成低成本的tsv

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5654589A (en) * 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US6472723B1 (en) * 1996-03-22 2002-10-29 Telefonaktiebolaget Lm Ericsson (Publ) Substrate contacts and shielding devices in a semiconductor component
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6225207B1 (en) * 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
JP3329380B2 (ja) * 1999-09-21 2002-09-30 日本電気株式会社 半導体装置およびその製造方法
US6204559B1 (en) * 1999-11-22 2001-03-20 Advanced Semiconductor Engineering, Inc. Ball grid assembly type semiconductor package having improved chip edge support to prevent chip cracking
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6436810B1 (en) * 2000-09-27 2002-08-20 Institute Of Microelectronics Bi-layer resist process for dual damascene
GB0117600D0 (en) * 2001-07-19 2001-09-12 Trikon Holdings Ltd Semiconductor structure
US7183195B2 (en) * 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
JP3536104B2 (ja) * 2002-04-26 2004-06-07 沖電気工業株式会社 半導体装置の製造方法
US7319071B2 (en) * 2004-01-29 2008-01-15 Micron Technology, Inc. Methods for forming a metallic damascene structure
JP4476171B2 (ja) * 2005-05-30 2010-06-09 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7563714B2 (en) * 2006-01-13 2009-07-21 International Business Machines Corporation Low resistance and inductance backside through vias and methods of fabricating same
US7615480B2 (en) * 2007-06-20 2009-11-10 Lam Research Corporation Methods of post-contact back end of the line through-hole via integration
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
WO2009119838A1 (ja) 2008-03-27 2009-10-01 京セラ株式会社 光学系、撮像装置および情報コード読取装置
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US7968975B2 (en) * 2008-08-08 2011-06-28 International Business Machines Corporation Metal wiring structure for integration with through substrate vias
US8907457B2 (en) * 2010-02-08 2014-12-09 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
JP5654794B2 (ja) * 2010-07-15 2015-01-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265319B1 (en) * 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
CN101556944A (zh) * 2007-12-21 2009-10-14 台湾积体电路制造股份有限公司 形成穿透硅通孔的结构和工艺
CN102446830A (zh) * 2010-09-30 2012-05-09 台湾积体电路制造股份有限公司 形成低成本的tsv

Also Published As

Publication number Publication date
US9064850B2 (en) 2015-06-23
CN103811415A (zh) 2014-05-21
US20140131884A1 (en) 2014-05-15
US9418933B2 (en) 2016-08-16
US20150249049A1 (en) 2015-09-03

Similar Documents

Publication Publication Date Title
CN102820280B (zh) 用于集成电路的非分层式金属层
TWI556352B (zh) 半導體積體電路與其製作方法
US20220208749A1 (en) Semiconductor devices and methods of manufacture thereof
EP2255386B1 (en) Method of fabricating through-substrate vias and semiconductor chip prepared for being provided with a through-substrate via
US10134807B2 (en) Structure and formation method of integrated circuit structure
CN103811415B (zh) 具有改进的形貌控制的衬底通孔形成
TWI682514B (zh) 用於超(跳)貫孔整合之金屬互連及其製造方法
US8519515B2 (en) TSV structure and method for forming the same
KR101194945B1 (ko) 원통형 엠베디드 캐패시터
US7786520B2 (en) Embedded semiconductor device including planarization resistance patterns and method of manufacturing the same
KR20180034671A (ko) 전도성 배리어 직접 하이브리드 접합
CN107039380A (zh) 接合结构及其形成方法
CN109560094A (zh) 半导体结构及其形成方法
US10636698B2 (en) Skip via structures
TW201605012A (zh) 具有再分配線的堆疊式集成電路
TWI595597B (zh) 形成金屬內連接之方法
CN111480226A (zh) 在半导体芯片中的保护结构及用于形成其的方法
KR102467033B1 (ko) 적층형 반도체 소자
TWI705527B (zh) 形成積體電路結構之方法、積體電路裝置、和積體電路結構
US10192808B1 (en) Semiconductor structure
KR20150090399A (ko) 다마신 실리콘 인터포저 및 그의 제조방법
TW201324726A (zh) 穿矽電極及其製作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant