CN103811313A - 降低外延中的图案负载效应 - Google Patents

降低外延中的图案负载效应 Download PDF

Info

Publication number
CN103811313A
CN103811313A CN201310158941.3A CN201310158941A CN103811313A CN 103811313 A CN103811313 A CN 103811313A CN 201310158941 A CN201310158941 A CN 201310158941A CN 103811313 A CN103811313 A CN 103811313A
Authority
CN
China
Prior art keywords
semiconductor layer
germanium
implement
etch
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310158941.3A
Other languages
English (en)
Other versions
CN103811313B (zh
Inventor
宋学昌
郭紫微
陈冠宇
李昆穆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103811313A publication Critical patent/CN103811313A/zh
Application granted granted Critical
Publication of CN103811313B publication Critical patent/CN103811313B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition

Abstract

降低外延中的图案负载效应。一种方法包括:在半导体衬底上方形成栅极堆叠件,在半导体衬底中且邻近于栅极堆叠件形成开口,以及实施第一外延在开口中生长第一半导体层。实施回蚀刻以减小第一半导体层的厚度。实施第二外延以在第一半导体层上方生长第二半导体层。第一半导体层和第二半导体层具有不同的组成。

Description

降低外延中的图案负载效应
技术领域
本发明涉及半导体器件,更具体而言,涉及外延工艺。
背景技术
在过去数十年中,半导体器件(例如,金属氧化物半导体(MOS)器件)尺寸的减小和固有特性使集成电路在速度、性能、密度和每单位功能成本方面具有持续的改进。根据MOS器件的设计和其中一个固有特性,调节位于MOS器件的源极和漏极之间的栅极下方的沟道区的长度来改变与沟道区相关的电阻,从而影响MOS器件的性能。更具体地说,缩短沟道区的长度来降低MOS器件的源极到漏极电阻,假设其他参数保持相对恒定,当对MOS器件的栅极施加足够的电压时,这可以使源极和漏极之间的电流增加。
为进一步提高MOS器件的性能,可以在MOS器件的沟道区中引入应力来提高载流子迁移率。一般来说,期望在n型MOS(“NMOS”)器件的沟道区中沿源极到漏极方向产生拉伸应力,而在p型MOS(“PMOS”)器件的沟道区中沿源极到漏极方向产生压缩应力。
向PMOS器件的沟道区施加压缩应力的常用方法是在源极和漏极区中生长SiGe应激件。这样的方法通常包括以下步骤:在半导体衬底上形成栅极堆叠件,在栅极堆叠件的侧壁上形成间隔件,在硅衬底中沿着栅极间隔件形成凹槽,在凹槽中外延生长SiGe应力件以及退火。由于SiGe比硅具有更大的晶格常数,因此SiGe在退火后扩展并且向位于源极SiGe应力件和漏极SiGe应力件之间的沟道区中施加压缩应力。
然而,上述方法具有图案负载效应(pattern-loading effects)的缺陷,这是由于图案密度方面的差异引起的。图案负载效应是在较高图案密度区和较低图案密度区同时外延生长时所产生的一种现象。由于膜在一个位置与另一位置的生长速度不同,根据局部图案密度,生长量变得局部密集或者稀疏,并且这使得所得到的膜的厚度不均匀。已经表明有效图案密度方面的大变化产生了显著和不期望的膜厚度变化。例如,被具有大的电介质面积比(意味着用于外延生长的表面积较小)的区域围绕的有源区比其他有源区具有更快的外延层生长。此外,在装有稀疏的有源区的区域的外延层的组成与在装有密集的有源区的区域的外延层的组成不同。具体来说,这种不均匀性使得器件形成工艺难于控制并且可以对器件性能造成不利影响。
可以通过调节外延参数来降低图案负载效应,诸如降低操作压力或者调节前体流速。然而,作为副作用,诸如组成的其他外延性能也受压力和气体流速的变化的影响。此外,使用这种方法降低图案负载效应的量并不让人满意。
发明内容
为了现有技术中存在的问题,根据本发明的一方面,提供了一种方法,包括:在半导体衬底上方形成栅极堆叠件;在所述半导体衬底中且邻近于所述栅极堆叠件形成开口;实施第一外延以在所述开口中生长第一半导体层;实施回蚀刻以减小所述第一半导体层的厚度;以及实施第二外延以在所述第一半导体层上方生长第二半导体层。
在所述的方法中,所述第一半导体层和所述第二半导体层包含硅锗,并且其中所述第二半导体层中的锗百分比大于所述第一半导体层中的锗百分比。
所述的方法进一步包括:在所述第一外延和所述第二外延期间,将p型杂质分别掺杂到所述第一半导体层和所述第二半导体层中,并且所述第一半导体层中的p型杂质百分比低于所述第二半导体层中的p型杂质百分比。
在所述的方法中,使用HCl和GeH4作为蚀刻气体实施所述回蚀刻。
在所述的方法中,当所述回蚀刻完成时,所述第一半导体层的顶端与所述半导体衬底的顶面齐平或者低于所述半导体衬底的顶面。
在所述的方法中,所述第二半导体层的顶面高于所述半导体衬底的顶面。
在所述的方法中,所述第一外延的步骤伴随原位实施所述回蚀刻,并且通过关闭在所述第一外延中使用的工艺气体中的含硅气体来将工艺从所述第一外延过渡到所述回蚀刻。
根据本发明的另一方方面,提供了一种方法,包括:在半导体衬底上方形成第一栅极堆叠件和第二栅极堆叠件;在所述半导体衬底中且邻近于所述第一栅极堆叠件和所述第二栅极堆叠件分别形成第一开口和第二开口,其中所述第一开口的横向尺寸大于所述第二开口的横向尺寸;实施第一外延以在所述第一开口和所述第二开口中分别生长第一半导体层和第二半导体层,其中所述第二半导体层的厚度大于所述第一半导体层的第一厚度;对所述第一半导体层和所述第二半导体层同时实施回蚀刻,其中在所述回蚀刻之后,所述第一半导体层和所述第二半导体层的厚度彼此基本上相等;以及实施第二外延以在所述第一半导体层和所述第二半导体层上方分别生长第三半导体层和第四半导体层。
在所述的方法中,所述第三半导体层和所述第四半导体层的组成不同于所述第一半导体层和所述第二半导体层的组成。在一个实施例中,所述第一半导体层、所述第二半导体层、所述第三半导体层和所述第四半导体层包含硅锗,并且其中所述第一半导体层和所述第二半导体层中的锗百分比低于所述第三半导体层和所述第四半导体层中的锗百分比。
所述的方法进一步包括:在所述第一外延和所述第二外延中原位掺杂p型杂质,并且其中所述第一半导体层和所述第二半导体层中的p型杂质百分比低于所述第三半导体层和所述第四半导体层中的p型杂质百分比。
在所述的方法中,使用HCl和GeH4作为蚀刻气体实施所述回蚀刻。
在所述的方法中,当所述回蚀刻完成时,所述第一半导体层和所述第二半导体层的顶端与所述半导体衬底的顶面基本上齐平或者低于所述半导体衬底的顶面。
在所述的方法中,所述第三半导体层和所述第四半导体层的顶面高于所述半导体衬底的顶面,并且所述第三半导体层和所述第四半导体层的底面低于所述半导体衬底的顶面。
根据本发明的又一方面,提供了一种方法,包括:在半导体衬底上方形成栅极堆叠件;在所述半导体衬底中且邻近于所述栅极堆叠件形成开口;实施第一外延以在所述开口中生长第一硅锗层,其中所述第一硅锗层具有第一锗原子百分比;实施回蚀刻以减小所述第一硅锗层的厚度;以及在所述回蚀刻之后,实施第二外延以在所述第一硅锗层上方生长第二硅锗层,其中所述第二硅锗层具有第二锗原子百分比,所述第二锗原子百分比高于所述第一锗原子百分比。
在所述的方法中,形成的所述第二硅锗层接触所述第一硅锗层。
在所述的方法中,使用包含括HCl、GeH4的工艺气体和含硅工艺气体实施所述第一外延,并且其中通过关闭所述含硅工艺气体和继续引入HCl和GeH4来实施所述回蚀刻。
在所述的方法中,用比值介于约1/5和约1/2之间的HCl流速和GeH4流速来实施所述回蚀刻。
在所述的方法中,当所述回蚀刻步骤完成时,所述第一硅锗层的顶端与所述半导体衬底的顶面齐平或者低于所述半导体衬底的顶面,并且所述第二硅锗层的顶面高于所述半导体衬底的顶面。
在所述的方法中,形成所述开口的步骤包括:实施各向同性蚀刻以在所述半导体衬底中形成所述开口;以及实施湿法蚀刻以扩展所述开口并暴露所述半导体衬底的(111)平面,其中所述(111)平面位于所述开口中。
附图说明
为更充分地理解本实施例及其优点,现在将结合附图所作的以下描述作为参考,其中:
图1至图8是根据一些示例性实施例处于制造金属氧化物半导体(MOS)器件的中间阶段的截面图。
具体实施方式
在下面详细讨论本发明实施例的制造和使用。然而,应该理解,实施例提供了许多可以在各种具体环境中实现的可应用的发明构思。所讨论的具体实施例仅仅是示例性的,而不用于限制本发明的范围。
根据各种示例性实施例提供了生长用于金属氧化物半导体(MOS)器件的源极和漏极应力件的外延工艺。示出了形成MOS器件的中间阶段。论述了实施例的变化形式。在所有的各幅视图和示出的实施例中,相同的编号用于表示相同的元件。
图1示出衬底4,其是晶圆2的一部分,其包括位于器件区100中的第一部分和位于器件区200中的第二部分。器件区100和200具有不同的图案密度和不同尺寸的暴露的有源区。例如,器件区100中邻近的栅极堆叠件102之间的距离S1大于器件区200中邻近的栅极堆叠件202之间的距离S2。S1/S2的比值可以大于2,大于5,或者大于10。在一些实施例中,器件区100是逻辑器件区,其可以是例如核心电路区、输入/输出(I/O)电路区和/或类似区域等,而器件区200是存储电路区,其包含诸如静态随机存取存储器(SRAM)单元的存储器单元。因此,器件区200可以是SRAM区。衬底4可以是诸如硅衬底的块状半导体衬底,或者可以具有诸如绝缘体上硅(SOI)结构的复合结构。
栅极堆叠件102形成在区域100中以及衬底4上方,并且包括栅极电介质104和栅电极106。包含栅极电介质204和栅电极206的栅极堆叠件202形成在区域200中以及衬底4上方。栅极电介质104和204可以包含氧化硅或者具有高k值(例如,高于7)的高k材料。栅电极106和206可以包含常用的导电材料,诸如掺杂的多晶硅、金属、金属硅化物、金属氮化物以及它们的组合。栅极堆叠件102和202分别还可以包括硬掩模108和208,其中硬掩模108和208可以包含例如氮化硅。
例如,通过将p型杂质注入到衬底4中来形成轻掺杂漏极/源极(LDD)区110和210。栅极堆叠件102和202充当注入掩模从而使得LDD区110和210的内部边缘分别与栅极堆叠件102和202的边缘基本上对准。可以使用介于约1keV和约10keV之间的能量和介于约1×1013/cm2和约1×1016/cm2的剂量来实施LDD注入。然而,应该理解,在整个说明书中所列举的值仅是实例,并且可以更改为不同的值。LDD注入可以是倾斜或者垂直的,其中倾斜角度介于约0度和约30度之间。此外,还可以例如通过将诸如砷或磷等的n型杂质注入到衬底4中来形成袋状区(pocket region)111和211。可以使用介于约20keV和约80keV之间的能量和介于约1×1012/cm2和约1×1014/cm2的剂量来实施袋形注入。袋形注入可以是倾斜的,其中倾斜角度大于LDD注入的倾斜角度。在一些实施例中,袋形注入的倾斜角度介于约15度和约45度之间。
参照图2,栅极间隔件112和212分别形成在栅极堆叠件102和202的侧壁上。在一些实施例中,栅极间隔件112和212中的每一个都包括氧化硅层(未示出)和位于氧化硅层上方的氮化硅层,其中氧化硅层的厚度可以介于约
Figure BDA00003136661900061
和约
Figure BDA00003136661900062
之间,并且氮化硅层的厚度可以介于约
Figure BDA00003136661900063
和约
Figure BDA00003136661900064
之间。在可选的实施例中,栅极间隔件112和212包括一层或多层,每一层都包含氧化硅、氮化硅、氮氧化硅和/或其他介电材料。可用的形成方法包括等离子体增强化学汽相沉积(PECVD)、低压化学汽相沉积(LPCVD)、次大气压化学汽相沉积(SACVD)以及其他沉积方法。
参照图3,实施各向同性蚀刻以在器件区100和200中分别形成开口114和214。各向同性蚀刻可以是干法蚀刻,其中蚀刻气体可以选自CF4、Cl2、NF3、SF6和它们的组合。例如,开口114的深度D1和开口214的深度D2可以介于约
Figure BDA00003136661900065
和约
Figure BDA00003136661900066
之间。
接下来,参照图4,实施湿法蚀刻以扩展开口114和214。可以例如使用四甲基氢氧化铵(TMAH)或氢氧化钾(KOH)溶液等实施湿法蚀刻。在一些示例性实施例中,TMAH溶液具有介于约1%和约30%之间的浓度。在湿法蚀刻期间,TMAH的温度可以介于约20℃和约100C之间。在湿法蚀刻之后,在开口114和214中形成面(facet),这些面包括衬底4的(111)平面。举例来说,在一些示例性实施例中,在湿法蚀刻之后,开口114的深度D3和开口214的深度D4可以介于约
Figure BDA00003136661900067
和约
Figure BDA00003136661900068
之间。
图5示出外延层120和220的形成。在外延之前,可以例如使用基于HF的气体或者基于SiCoNi的气体实施预清洁。预清洁可以去除任何不想要的氧化硅,该氧化硅是由于开口114和214中的暴露表面自然氧化所形成的。在一些实施例中,可以实施高温烘焙,但是也可以跳过烘焙。可以在存在HCl气体或者不存在HCl气体的情况下实施高温烘焙。举例来说,烘焙温度可以介于约700℃和约900℃之间。HCl的压力可以介于约10托和约200托之间。烘焙持续时间可以介于约30秒和约240秒之间。高温烘焙还可以去除衬底4的暴露表面上的自然氧化物,该暴露表面位于开口114和214中。
如图5所示,通过选择性外延生长(SEG)在开口114和214中外延生长诸如硅锗(SiGe)的半导体材料,从而形成外延层120和220。工艺气体可以包括H2、N2、二氯硅烷(DCS)、SiH4、GeH4和/或类似气体等。外延的温度可以介于约600℃和约900℃之间。在一些实施例中,还可以加入蚀刻气体以促进在衬底4的暴露表面上而不是在诸如栅极间隔件112和212的电介质上的选择性生长。工艺气体的压力可以介于约10托和约200托之间。例如,所形成的外延层120的厚度T1和外延层220的厚度T2可以介于约
Figure BDA00003136661900071
和约
Figure BDA00003136661900072
之间。在外延期间,进行生长的同时可以掺杂期望的杂质。例如,当要掺杂硼时,工艺气体中可以包含B2H6。例如,外延层120和220可以具有介于约10%和约30%之间的第一锗原子浓度,但是也可以使用不同的锗浓度。
由于图案负载效应,区域100中的外延层120比区域200中的外延层220具有较低的生长速率。因此,外延层120的厚度T1小于外延层220的厚度T2。这可能是由于在拐角123和223处的生长速率高于(111)表面(所示出的倾斜表面)和(100)表面(所示出的水平底面)的生长速率的事实引起的。在开口214中,从两个拐角223生长的SiGe比开口114中的更早相互合并,从而使得厚度T2大于厚度T1。厚度T1和T2的差异造成后续器件形成工艺方面的困难,并且可能对器件性能造成不利的影响,因此是不希望的。
接下来,实施回蚀刻以去除外延层120和220的顶部,其中将去除的外延层120和220的顶部示意性地示出为虚线上方的部分。所形成的结构在图6中示出。可以使用蚀刻气体实施回蚀刻,其中蚀刻气体可以是例如HCl和GeH4的气体组合。在一些实施例中,原位实施(即,在相同的工艺室中,其间没有产生真空破坏)外延层120和220的形成和回蚀刻。在一些实施例中,为实施回蚀刻,关闭诸如DCS和/或SiH4的含硅气体的流动,从而使得含硅气体不再进入用于实施回蚀刻的工艺室中。在回蚀刻中可以使用GeH4作为催化剂,从而加速回蚀刻的进行。在一些实施例中,回蚀刻温度介于约550℃和约750℃之间。相应室中的压力介于约10托和约200托之间。回蚀刻时间介于约30秒和约300秒之间。HCl和GeH4的流速可以介于约10sccm和约500sccm之间。而且,HCl的流速和GeH4的流速的比值FRHCl/FRGeH4可以介于约1/5和约1/2之间,其中FRHCl和FRGeH4分别是HCl和GeH4的流速。
在回蚀刻中,区域100中的回蚀刻速率比区域200中的回蚀刻速率慢。从而,外延层220的厚度比外延层120的厚度减小得多。因此,在回蚀刻之后,外延层120的厚度T3和外延层220的厚度T4的差值小于厚度T1和T2之间的差值(图5)。在一些实施例中,当回蚀刻完成时,厚度T3基本上等于厚度T4,其差值小于厚度T3和T4的约10%或者小于约5%。在回蚀刻之后,剩余的外延层120和220的顶端120A和220A与衬底4的顶面4A齐平或者低于衬底4的顶面4A。外延层120和220的水平底面120B和220B可以低于衬底4的顶面4A。
参照图7,通过外延生长外延层122和222,接着形成外延层124和224。外延层122和222的组成(其中所包含的元素以及元素的百分比)可以不同于外延层120和220的组成。外延层120和122结合形成MOS器件的源极或者漏极区(还被称为源极或者漏极应力件)的一部分,MOS器件还包括作为其栅极的一个栅极堆叠件102。类似地,外延层220和222结合也形成MOS器件的源极或者漏极区(还被称为源极或者漏极应力件)的一部分,MOS器件还包括作为其栅极的一个栅极堆叠件202。在一些实施例中,外延层122和222是SiGe层,其具有的锗原子浓度可以高于外延层120和220中的锗原子浓度。例如,外延层122和222可以具有介于约30%和约60%之间的第二锗原子浓度。而且,外延层122和222中的p型杂质浓度也可以高于外延层120和220中的p型杂质浓度。除了可以调节含硅气体和含锗气体的比值之外,用于形成外延层122和222的工艺条件可以类似于用于形成外延层120和220的工艺条件。在一些实施例中,外延层122和222的顶面可以高于衬底4的顶面4A。
在形成外延层122和222之后,通过外延形成外延层124和224。外延层124和224的组成(其中所包含的元素以及元素的百分比)可以不同于外延层122和222的组成。外延层124和224可以是基本上纯的硅层,其中不包含锗。外延层124和224也可以是SiGe层,其中外延层124和224中的锗浓度低于外延层122和222中的锗浓度。在一些实施例中,外延层124和224中的p型杂质(若有的话)浓度低于外延层122和222中的p型杂质浓度。可以在相同的室中原位实施外延层120和220的生长、外延层120和220的回蚀刻以及外延层122、222、124和224的生长,其中无真空破坏。
然后去除硬掩模108和208,得到的结构在图8中示出。图8还示出硅化物区126和226的形成。可以通过在器件上方(包括外延层124和224和栅电极106和206的暴露表面)沉积诸如钛、钴、镍或钨等的金属薄层(未示出)来形成硅化物区126和226。然后加热晶圆2,这使得在金属与硅接触的地方发生硅化物反应(silicide reaction)。在反应之后,在硅和金属之间形成金属硅化物层。通过使用腐蚀金属但不腐蚀硅化物的蚀刻剂来选择性去除未反应的金属。作为硅化的结果,根据一些实施例,外延层124和224基本上被完全硅化。可选地,外延层124和224的顶部被硅化,而外延层124和224的底部未被硅化。在其他实施例中,还可以硅化部分的外延层122和222。
在实施例中,通过回蚀刻外延层120和220,外延层120和220的厚度是基本上均匀的。实验表明在没有实施回蚀刻的情况下,位于第一样本晶圆上的外延层120和220的厚度(图5)分别是
Figure BDA00003136661900091
Figure BDA00003136661900092
通过使用根据实施例的回蚀刻,位于第二样本晶圆(其与第一样本晶圆基本上相同)上的外延层120和220的厚度分别是
Figure BDA00003136661900093
Figure BDA00003136661900094
两者更加接近。外延层120和220的厚度均匀性的改善使得器件性能方面的可控性得到改善。例如,由于外延层120和220的厚度更加均匀,更易控制分别通过外延层120和220从外延层122和222扩散到相应沟道的硼的量,从而改善对短沟道效应的控制。
根据实施例,一种方法包括:在半导体衬底上方形成栅极堆叠件,在半导体衬底中且邻近于栅极堆叠件形成开口,以及实施第一外延以在开口中生长第一半导体层。实施回蚀刻以减小第一半导体层的厚度。实施第二外延以在第一半导体层上方生长第二半导体层。第一半导体层和第二半导体层具有不同的组成。
根据其他实施例,一种方法包括:在半导体衬底上方形成第一栅极堆叠件和第二栅极堆叠件,以及在半导体衬底中且邻近于第一栅极堆叠件和第二栅极堆叠件分别形成第一开口和第二开口。第一开口的横向尺寸大于第二开口的横向尺寸。实施第一外延以在第一开口和第二开口中分别生长第一半导体层和第二半导体层,其中第二半导体层的厚度大于第一半导体层的第一厚度。同时在第一半导体层和第二半导体层上实施回蚀刻。在回蚀刻之后,第一半导体层和第二半导体层的厚度彼此基本上相等。实施第二外延以在第一半导体层和第二半导体层上方分别生长第三半导体层和第四半导体层。
根据又一些实施例,一种方法包括:在半导体衬底上方形成栅极堆叠件,在半导体衬底中且邻近于栅极堆叠件形成开口,以及实施第一外延在开口中生长第一硅锗层。第一硅锗层具有第一锗原子百分比。实施回蚀刻以减小第一硅锗层的厚度。在回蚀刻之后,实施第二外延以在第一硅锗层上方生长第二硅锗层。第二硅锗层具有高于第一锗原子百分比的第二锗原子百分比。
尽管已经详细地描述了实施例及其优势,但应该理解,可以在不背离所附权利要求限定的实施例的构思和范围的情况下,进行各种改变、替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员根据本发明应很容易理解,根据本发明可以利用现有的或今后开发的用于执行与本文所述相应实施例基本上相同的功能或者获得基本上相同的结果的工艺、机器、制造、材料组分、装置、方法或步骤。因此,所附权利要求预期在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。此外,每条权利要求构成单独的实施例,并且各种权利要求和实施例的组合在本发明的范围内。

Claims (10)

1.一种方法,包括:
在半导体衬底上方形成栅极堆叠件;
在所述半导体衬底中且邻近于所述栅极堆叠件形成开口;
实施第一外延以在所述开口中生长第一半导体层;
实施回蚀刻以减小所述第一半导体层的厚度;以及
实施第二外延以在所述第一半导体层上方生长第二半导体层。
2.根据权利要求1所述的方法,其中,所述第一半导体层和所述第二半导体层包含硅锗,并且其中所述第二半导体层中的锗百分比大于所述第一半导体层中的锗百分比。
3.根据权利要求1所述的方法,进一步包括:在所述第一外延和所述第二外延期间,将p型杂质分别掺杂到所述第一半导体层和所述第二半导体层中,并且所述第一半导体层中的p型杂质百分比低于所述第二半导体层中的p型杂质百分比。
4.根据权利要求1所述的方法,其中,使用HCl和GeH4作为蚀刻气体实施所述回蚀刻。
5.根据权利要求1所述的方法,其中,当所述回蚀刻完成时,所述第一半导体层的顶端与所述半导体衬底的顶面齐平或者低于所述半导体衬底的顶面。
6.根据权利要求1所述的方法,其中,所述第二半导体层的顶面高于所述半导体衬底的顶面。
7.根据权利要求1所述的方法,其中,所述第一外延的步骤伴随原位实施所述回蚀刻,并且通过关闭在所述第一外延中使用的工艺气体中的含硅气体来将工艺从所述第一外延过渡到所述回蚀刻。
8.一种方法,包括:
在半导体衬底上方形成第一栅极堆叠件和第二栅极堆叠件;
在所述半导体衬底中且邻近于所述第一栅极堆叠件和所述第二栅极堆叠件分别形成第一开口和第二开口,其中所述第一开口的横向尺寸大于所述第二开口的横向尺寸;
实施第一外延以在所述第一开口和所述第二开口中分别生长第一半导体层和第二半导体层,其中所述第二半导体层的厚度大于所述第一半导体层的第一厚度;
对所述第一半导体层和所述第二半导体层同时实施回蚀刻,其中在所述回蚀刻之后,所述第一半导体层和所述第二半导体层的厚度彼此基本上相等;以及
实施第二外延以在所述第一半导体层和所述第二半导体层上方分别生长第三半导体层和第四半导体层。
9.根据权利要求8所述的方法,其中,所述第三半导体层和所述第四半导体层的组成不同于所述第一半导体层和所述第二半导体层的组成。
10.一种方法,包括:
在半导体衬底上方形成栅极堆叠件;
在所述半导体衬底中且邻近于所述栅极堆叠件形成开口;
实施第一外延以在所述开口中生长第一硅锗层,其中所述第一硅锗层具有第一锗原子百分比;
实施回蚀刻以减小所述第一硅锗层的厚度;以及
在所述回蚀刻之后,实施第二外延以在所述第一硅锗层上方生长第二硅锗层,其中所述第二硅锗层具有第二锗原子百分比,所述第二锗原子百分比高于所述第一锗原子百分比。
CN201310158941.3A 2012-11-07 2013-05-02 降低外延中的图案负载效应 Active CN103811313B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/671,243 US8815713B2 (en) 2012-11-07 2012-11-07 Reducing pattern loading effect in epitaxy
US13/671,243 2012-11-07

Publications (2)

Publication Number Publication Date
CN103811313A true CN103811313A (zh) 2014-05-21
CN103811313B CN103811313B (zh) 2016-12-28

Family

ID=50622742

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310158941.3A Active CN103811313B (zh) 2012-11-07 2013-05-02 降低外延中的图案负载效应

Country Status (2)

Country Link
US (1) US8815713B2 (zh)
CN (1) CN103811313B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104241355A (zh) * 2013-06-09 2014-12-24 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN107665825A (zh) * 2016-07-28 2018-02-06 台湾积体电路制造股份有限公司 半导体器件以及PMOS FET的源极/漏极结构和PMOS FinFET的制造方法
CN110137137A (zh) * 2018-02-09 2019-08-16 三星电子株式会社 包括金属氧化物半导体晶体管的集成电路半导体器件

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103545212B (zh) * 2012-07-16 2016-09-21 中国科学院微电子研究所 半导体器件制造方法
US9337337B2 (en) * 2013-08-16 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device having source and drain regions with embedded germanium-containing diffusion barrier
US9583483B2 (en) * 2013-09-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain stressors with recessed top surfaces
US10522436B2 (en) 2017-11-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of semiconductor packages and structures resulting therefrom
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156994A (en) * 1990-12-21 1992-10-20 Texas Instruments Incorporated Local interconnect method and structure
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US20070200170A1 (en) * 2006-02-15 2007-08-30 Hiroyuki Yamasaki Semiconductor device and method of manufacturing the same
US20110117732A1 (en) * 2009-11-17 2011-05-19 Asm America, Inc. Cyclical epitaxial deposition and etch

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8754448B2 (en) * 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156994A (en) * 1990-12-21 1992-10-20 Texas Instruments Incorporated Local interconnect method and structure
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US20070200170A1 (en) * 2006-02-15 2007-08-30 Hiroyuki Yamasaki Semiconductor device and method of manufacturing the same
US20110117732A1 (en) * 2009-11-17 2011-05-19 Asm America, Inc. Cyclical epitaxial deposition and etch

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104241355A (zh) * 2013-06-09 2014-12-24 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN104241355B (zh) * 2013-06-09 2017-06-13 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN107665825A (zh) * 2016-07-28 2018-02-06 台湾积体电路制造股份有限公司 半导体器件以及PMOS FET的源极/漏极结构和PMOS FinFET的制造方法
CN110137137A (zh) * 2018-02-09 2019-08-16 三星电子株式会社 包括金属氧化物半导体晶体管的集成电路半导体器件
CN110137137B (zh) * 2018-02-09 2023-12-05 三星电子株式会社 包括金属氧化物半导体晶体管的集成电路半导体器件

Also Published As

Publication number Publication date
CN103811313B (zh) 2016-12-28
US20140127886A1 (en) 2014-05-08
US8815713B2 (en) 2014-08-26

Similar Documents

Publication Publication Date Title
US11257951B2 (en) Method of making semiconductor device having first and second epitaxial materials
KR100642747B1 (ko) Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
US9653574B2 (en) Selective etching in the formation of epitaxy regions in MOS devices
US7413961B2 (en) Method of fabricating a transistor structure
CN101281926B (zh) 半导体结构
CN103811313A (zh) 降低外延中的图案负载效应
US7772071B2 (en) Strained channel transistor and method of fabrication thereof
US9064688B2 (en) Performing enhanced cleaning in the formation of MOS devices
US10103245B2 (en) Embedded shape sige for strained channel transistors
US8253177B2 (en) Strained channel transistor
KR101264113B1 (ko) 변형된 채널을 갖는 cmos 소자 및 이의 제조방법
US7683436B2 (en) Semiconductor device having a pole-shaped portion and method of fabricating the same
US20130037822A1 (en) Semiconductor Device and Manufacturing Method Thereof
TWI578536B (zh) 半導體元件之製造方法
KR101522792B1 (ko) 리세싱된 상부 표면을 갖는 소스 및 드레인 스트레서
JP2006019727A (ja) 勾配付き組み込みシリコン−ゲルマニウムのソース−ドレイン及び/又は延長部をもつ、歪みp型mosfetの構造及びこれを製造する方法
KR20060095236A (ko) 씨모스 트랜지스터 및 그 제조 방법
US20160064522A1 (en) Semiconductor device and fabrication method thereof
US20080048217A1 (en) Semiconductor device and method of fabricating the same
CN104347688A (zh) 调节mos器件中的锗百分比
CN103187297B (zh) 鳍式场效应晶体管的制作方法
JP2008171999A (ja) 半導体装置およびその製造方法
KR100760912B1 (ko) 반도체 소자 및 그 제조 방법
JP2005209980A (ja) 半導体装置の製造方法および半導体装置
CN105304491A (zh) 用于形成嵌入式锗硅的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant