CN103459660A - 具有等离子体源的沉积反应器 - Google Patents

具有等离子体源的沉积反应器 Download PDF

Info

Publication number
CN103459660A
CN103459660A CN2011800698412A CN201180069841A CN103459660A CN 103459660 A CN103459660 A CN 103459660A CN 2011800698412 A CN2011800698412 A CN 2011800698412A CN 201180069841 A CN201180069841 A CN 201180069841A CN 103459660 A CN103459660 A CN 103459660A
Authority
CN
China
Prior art keywords
base material
deposition reactor
reaction chamber
infeed mean
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800698412A
Other languages
English (en)
Other versions
CN103459660B (zh
Inventor
V·基尔皮
W-M·李
T·马利南
J·科斯塔莫
S·林德弗斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Picosun Oy
Original Assignee
Picosun Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Picosun Oy filed Critical Picosun Oy
Publication of CN103459660A publication Critical patent/CN103459660A/zh
Application granted granted Critical
Publication of CN103459660B publication Critical patent/CN103459660B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及一种沉积反应器,其包括:限定膨胀空间的进给部件,其被构造成引导反应物作为上到下的流从等离子体源(110)流向反应室,所述膨胀空间朝向反应室(335)变宽;和提升机构,其用于从反应室顶侧将至少一个基材(360)装载到所述反应室。所述沉积反应器被构造成在反应室中通过顺序自饱和表面反应将材料沉积在所述至少一个基材上。

Description

具有等离子体源的沉积反应器
发明领域
本发明总的涉及具有等离子源的沉积反应器。更具体地,但不是排他地,本发明涉及这样的沉积反应器,在其中材料通过顺序自饱和表面反应沉积在表面上。
发明背景
原子层外延(Atomic Layer Epitaxy,ALE)方法是Tuomo Suntola博士在1970年代初发明的。该方法的另一个通用名是原子层沉积(Atomic Laye Deposition,ALD),并且现在用它代替了ALE。ALD是基于向基材顺序引入至少两种反应性前体物质的特殊的化学淀积方法。所述基材位于反应空间内。反应空间通常被加热。ALD的基本生长机制依赖于化学吸附(chemisorption)与物理吸附(physisorption)之间的结合强度差异。在所述沉积过程期间,ALD利用化学吸附并消除物理吸附。化学吸附期间,在固相表面的原子和从气相抵达的分子之间形成强化学键。通过物理吸附的结合要弱的多,因为只涉及范德华力。当局部温度超过所述分子的凝结温度时,物理吸附键就很容易被热能断裂。
ALD反应器的反应空间包括能够交替和顺序地暴露于用于薄膜沉积的每种ALD前体的所有加热表面。基本的ALD沉积周期由四个顺序的步骤组成:脉冲A,吹扫A,脉冲B,和吹扫B。脉冲A通常由金属前体蒸气组成,而脉冲B由非金属前体蒸气组成,尤其是氮或氧前体蒸气。不活泼气体例如氮气或氩气、和真空泵用于在吹扫A和吹扫B期间从反应空间清除气态反应副产物和剩余的反应物分子。沉积顺序包括至少一个沉积周期。重复沉积周期,直到沉积顺序已经产生期望厚度的薄膜。
前体物质通过化学吸附与加热表面的反应性部位形成化学键。通常以一个前体脉冲期间在表面上形成不超过固体材料分子单层的方式来安排条件。因此,所述生长过程是自终结的或自饱和的。例如,第一种前体可以包括保持与所述吸附物质相连并使所述表面饱和的配位体,其阻止进一步的化学吸附。保持反应空间温度超过所使用的前体的凝结温度并低于其热分解温度,使得所述前体分子物质基本上完好地化学吸附在基材上。基本完好是指当前体分子物质化学吸附在表面上时,挥发性配位体可以离开所述前体分子。所述表面变得基本上被第一种类型的反应性部位、即第一种前体分子的吸附物质饱和。这个化学吸附步骤通常继之以第一吹扫步骤(吹扫A),其中过量的第一种前体和可能的反应副产物被从反应空间除去。然后将第二种前体蒸气引入反应空间。第二种前体分子通常与第一种前体分子的吸附物质反应,从而形成所期望的薄膜材料。一旦已经消耗了吸附的第一种前体的全部量并且所述表面已经被第二种类型的反应性部位基本饱和,则该生长终止。过量的第二种前体蒸气和可能的反应副产物蒸气然后通过第二个吹扫步骤(吹扫B)除去。然后重复所述周期,直到薄膜已经生长到所期望的厚度。沉积周期也可以更复杂。例如,所述周期可以包括被吹扫步骤分开的三个或更多个反应物蒸气脉冲。所有这些沉积周期形成了受逻辑单元或微处理器控制的定时沉积顺序。
通过ALD生长的薄膜是致密的,无针孔并具有均一的厚度。例如,从三甲基铝(CH3)3Al、也称为TMA和水在250-300℃通过热ALD生长的氧化铝,在100-200mm直径晶片上通常有约1%的不均一性。通过ALD生长的金属氧化物薄膜适合于栅极电介质、电致发光显示器绝缘体、磁读出头间隙的填充层、电容器电介质和钝化层。通过ALD生长的金属氮化物薄膜适合于扩散阻挡层,例如在双镶嵌结构中。
适合于在各种ALD反应器中的ALD方法的前体公开于,例如,综述R.Puurunen,"Surface chemistry of atomic layer deposition:A casestudy for the trimethylaluminium/water process",J.Appl.Phys.,97(2005),121301页中,所述文献通过引用并入本文。
在ALD方法中使用自由基可以获得一些优点,例如在非常低的沉积温度下使用热敏基材的可能性。在等离子体ALD反应器中,自由基由等离子体源产生。然而,使用等离子体源可能引起对沉积反应器的一定要求或特定的问题。
发明概述
根据本发明的第一个实例方面,提供了一种沉积反应器,其包括:
限定膨胀空间的进给部件,其被构造成引导反应物作为上到下的流从等离子体源流向反应室,所述膨胀空间朝向所述反应室变宽;和提升机构,用于从所述反应室顶侧将至少一个基材装载到所述反应室,并且
所述沉积反应器被构造成在所述反应室中通过顺序自饱和表面反应将材料沉积在所述至少一个基材上。
在某些实施方式中,沉积反应器是等离子体增强原子层沉积反应器,PEALD反应器。在某些实施方式中,所述沉积反应器包括在反应室顶侧上的等离子体源。在某些实施方式中,等离子体源是感应耦合等离子体源。在某些实施方式中,所述等离子体源被构造成产生在沉积反应器中用作反应物的自由基。
在某些实施方式中,所述提升机构能够从反应室的顶侧进行装载。
在某些实施方式中,所述限定或形成膨胀空间的进给部件,其尺寸或者其形状或大小是可变的。在某些实施方式中,所述提升机构被构造成改变所述进给部件的尺寸。
在某些实施方式中,所述进给部件具有收缩形状和伸展形状,并且所述提升机构被构造成推动或牵拉所述进给部件从所述伸展形状到所述收缩形状,在所述进给部件处于其收缩形状时,允许所述至少一个基材的所述装载。
在某些实施方式中,所述进给部件被构造成垂直变形。
在某些实施方式中,所述进给部件包括一组可活动以便安装在彼此内的嵌套子部件或环样构件。所述子部件可以是内部中空的。嵌套子部件的数量可以是两个或更多个,以形成套筒式(telescopic)结构。所述嵌套子部件的形式可以是截锥体(truncated cone)。在一种实施方式中,在所述进给部件实际上由两个或更多个子部件组成的情况下,至少最接近反应空间的子部件可以是截锥体。在某些实施方式中,所述进给部件由两个嵌套的子部件组成。
在某些实施方式中,所述提升机构包括提升器。它可以包括例如线性馈通(feedthrough)。
在某些实施方式中,沉积期间,所述进给部件与膨胀空间凸缘相连,膨胀空间凸缘又靠着反应室的上凸缘安装。藉此,可以提供紧靠表面密封的表面。
在某些实施方式中,所述提升机构被构造成在用于装载或卸载的上部位置和用于沉积的下部位置之间移动携带所述至少一个基材的基材架。
在某些实施方式中,所述沉积反应器包括在等离子体源和所述反应室之间的基材传递室。所述基材传递室可以包括用于装载锁定的界面。
在某些实施方式中,所述沉积反应器包括在所述进给部件中的手动出入舱口(manual access hatch)。
在某些实施方式中,所述沉积反应器包括包括多个对称放置的升降器的所述提升机构。在某些实施方式中,升降器的数量是两个。在某些其他实施方式中,升降器的数量是三个、四个或更多个。所述升降器可以相对于进给部件对称。
在某些实施方式中,所述沉积反应器被构造成使用基材架(其携带所述至少一个基材)作为反应空间中气流的主要障碍物。
在某些实施方式中,沉积反应器包括或具有流量调节部件。所述流量调节部件放置在基材架与反应室壁之间。它可以在基材架周围。在某些实施方式中,它可以基本上填充所述基材架与反应室壁之间的空间。在某些实施方式中,所述流量调节部件可以是其中有孔的环。所述孔可以大小一致,或者它们可以是大小可变的,以便在较大的孔中通过更多的流量。
在某些实施方式中,所述进给部件是可变形的,并且所述设备包括至少一个机械致动器,以将所述进给部件在收缩形状和伸展形状之间变形。
在某些实施方式中,携带至少一个基材的基材架与所述可变形的进给部件机械联接,并且其中使所述可变形进给部件变形,引起所述携带至少一个基材的基材架提升到用于装载或卸载的上部位置。
根据本发明的第二个示例性方面,提供了一种方法,所述方法包括:
操作根据任何所介绍的实施方式的沉积反应器。
在某些实施方式中,所述方法包括使用可变形的进给部件,所述进给部件可通过至少一个机械致动器在收缩形状和伸展形状之间变形。
在某些实施方式中,携带至少一个基材的基材架与所述可变形的进给部件机械联接,并且所述方法包括:通过使所述可变形进给部件变形,引起所述携带至少一个基材的基材架提升到用于装载或卸载的上部位置。
本发明不同的非限制性示例方面和实施方式已经在上文中说明。上述实施方式仅用于阐明可以在实施本发明中使用的所选的方面或步骤。一些实施方式可以只参考本发明的某些示例方面来介绍。应该领会,相应的实施方式同样可以适用于其他示例方面。可以形成任何合适的实施方式组合。
附图简要说明
现在将只通过示例并参考附图说明本发明,在所述附图中:
图1显示了根据示例性实施方式的沉积反应器;
图2显示了具有朝向反应室变宽的膨胀空间的示例性实施方式;
图3显示了升降器牵引基材架向上进行装载的示例性实施方式;
图4显示了在抬升位置的图3实施方式中的基材;
图5显示了升降器推动基材架向上进行装载的示例性实施方式;
图6显示了在抬升位置的图5实施方式中的基材;
图7显示了具有朝向反应室变宽的膨胀空间的另一种示例性实施方式;
图8显示了具有对称放置的升降器的示例性实施方式;
图9显示了以图3显示的示例性实施方式为基础的另一种示例性实施方式;
图10显示了在抬升位置的图9实施方式中的基材;
图11显示了根据示例性实施方式,使用基材架作为主要的流动障碍物的原理;
图12显示了具有流量调节部件的示例性实施方式;
图13显示了图12的流量调节部件的示例性实施;
图14显示了图12的流量调节部件的另一种示例性实施;
图15显示了根据示例性实施方式,在沉积反应器内加工一批基材;
图16显示了具有手动出入舱口的可替换实施方式;和
图17显示了根据示例性实施方式,沉积反应器控制系统的草框图。
发明详述
在下面的说明中,原子层沉积(ALD)技术被用作例子。然而,目的不是严格限于该技术,而是必须认识到,某些实施方式也可以适用于利用其他相当的原子规模沉积技术的方法和设备中。
ALD生长机制的基础是技术人员已知的。ALD方法的详情也已经在本专利申请的引言部分中说明。这些细节在此不重复,但是对于这方面参考了引言部分。
图1显示了沉积反应器(等离子体ALD反应器等)的侧视图。所述沉积反应器包括在ALD反应器模块130内部基材传递室120下方的反应室(图1中未显示)。源气体通过源气体管线101流入在反应室顶侧上的等离子体源110。由等离子体源110从源气体生成的自由基通过管线102流向反应室。在等离子体源110和反应室之间,有基材传递室120。至少一个基材通过传递室120装载到反应室中。基材传递室120包括用于装载锁定的界面等,用于装载所述至少一个基材。在示例性实施方式中,所述界面可以是装载锁定凸缘122等,具有闸门阀的装载锁可以与之相连。在一种示例性实施方式中,将所述至少一个基材装载到传递室中的可以是自动的程序。或者,可以手工装载所述至少一个基材。与传递室整合的较大的舱口123特别适合于手动装载和卸载。
起于等离子体源的进给管线102可以在传递室120之前通过与管线102相连的关闭构件或阀115、例如闸门阀等关闭。在一种实施方式中,沉积过程期间关闭构件或阀115可以从所述构造中省略,存在保护性惰性气体(例如氩气)从源气体管线101通过等离子体发生器110流向反应空间(331,图3)。当阀115打开时,由等离子体源110从源气体生成的自由基通过进给管线102流向所述反应室。自由基流过传递室上凸缘121进入朝向反应室变宽的膨胀空间(图1未显示)。这在图2中更详细地显示。
膨胀空间由进给部件或组件限定或形成,所述进给部件或组件包括一组可活动以便安装在彼此内的嵌套子部件或环样构件。因而子部件241-245形成套筒式结构。在图2显示的示例性实施方式中,最上面的子部件241与传递室上凸缘121相连。所述凸缘121也可以表示为真空室凸缘,因为通常可以在传递室的围绕进给部件的部分中产生真空或接近真空。在图2显示的示例性实施方式中,最下面的子部件245与膨胀空间凸缘224相连,所述凸缘在沉积期间以基本防渗漏的方式靠着反应室凸缘234安装,防止反应空间(331,图3)和反应室周围的气体空间(335,图3)之间漏气。
在图2显示的实施方式中,升降器250的可收缩轴与膨胀空间凸缘224相连,或直接与进给部件相连。升降器250的主体也可以与传递室上凸缘121或与沉积反应器中的另一个合适的对应物相连。升降器250可以是例如利用至少部分被波纹管251等覆盖的可收缩轴操作的升降器。在一种实施方式中,这种安排在气动或线性致动器与膨胀空间凸缘224或进给部件之间形成防漏的竖直柔性覆盖物。在一种实施方式中,使用线性馈通(feedthrough),用于将进给部件和膨胀空间凸缘与基材架在真空中一起移动并从大气侧控制。
在一个实施方式中,升降器波纹管251的底端与所述轴防渗漏式联接。用致动器在升降器波纹管251内牵引所述轴,使升降器波纹管251收缩,并且可以向上牵引所述至少一个基材360或基材架361供装载或卸载,同时保持基材操作区和它的周围处于真空。
在可替代实施方式中,膨胀空间凸缘224没有与进给部件分开,而是形成进给部件的一部分,从而形成进给部件的底部。所述底部在该实施方式中靠着反应室起到边缘密封的功能。另一方面,它起到升降器250的固定点(升降器轴)的功能。
所述进给部件具有如图3所示的伸展形状和如图4所示的收缩形状。当限定膨胀空间的进给部件处于其收缩形状(图4)时,所述至少一个水平放置的基材360可以通过传递室120(图1)装载和卸载。当所述进给部件处于它的伸展形状(图3)时,在反应室335的反应空间331中,通过顺序自饱和表面反应,进而发生将材料沉积在所述至少一个基材360上。伸展形状与收缩形状之间的转变可通过升降器250(图2)等进行。在图2-4显示的实施方式中,当升降器波纹管251膨胀时,限定膨胀空间的所述部件处于其伸展形状(图3)。并且,当升降器波纹管251收缩时,限定膨胀空间的所述部件处于其收缩形状(图4)。
在图3显示的实施方式中,所述至少一个基材360被基材架361支撑或平放在基材架361之上。在一个实施方式中,基材架包括两个以开放的间隙分开的区段,所述间隙宽得足够允许基材叉在所述区段之间自由移动。基材架361通过架支撑体362与膨胀空间凸缘224相连。或者,来自等离子体源110(图1和2)和前体蒸气的自由基流向反应室335的反应空间331。来自等离子体源110的自由基作为从上到下的流301通过膨胀空间流到反应空间331,而前体蒸气通过进给管线371经过示例性的管件381和反应室凸缘234内的通道303或通过进给管线372经过示例性的管件382和反应室凸缘234内的通道304流动。废气通过在底部的废气导向装置被排除,如流向箭头305所示。
在一个示例性实施方式中,基材架被构造成与膨胀空间凸缘224一起或与进给部件一起移动。以这种方式,可以向上牵引所述至少一个基材360或基材架361进行装载或卸载。在一种实施方式中,基材架361可与膨胀空间凸缘224可拆卸地连接。以这种方式,当处于上部位置(图4)时,可以将基材架361与所述至少一个基材360一起装载或卸载。类似地,被垂直放入基材架的一批基材可以装载到沉积反应器中以及从所述沉积反应器卸载,如在下面参考图15的说明中更周密的描述。
图5和6显示的示例性实施方式在其他方面都对应于图2-4显示的实施方式,但是代之以使用升降器将进给部件从伸展形状牵引到收缩形状进行装载或卸载,使用的是将所述部件从伸展形状推动到收缩形状的升降器。
图5和6中显示的升降器包括通过升降器操作的提升框架591。所述升降器可以是例如利用具有步进马达和导螺杆和线性馈通551等的气动致动器或线性致动器操作的升降器。在一种实施方式中,所述升降器包括柔性部件,例如边缘焊接的波纹管连接器,用于保持真空空间与室内空气隔离。提升框架591与膨胀空间凸缘224相连,或与进给部件直接相连。
在图5和6显示的实施方式中,当线性馈通551收缩时,所述进给部件处于其伸展形状(图5)。而当线性馈通551膨胀时,所述进给部件处于其收缩形状(图6)。
图7显示了具有朝向反应室变宽的膨胀空间的另一种示例性实施方式。图7显示的示例性实施方式在其他方面的构造和操作都类似于图2-6显示的示例性实施方式,除了在此所述的进给部件只包括两个嵌套子部件741和742。最下面的子部件742与最上面的子部件741连接,使得最下面的子部件742在最上面的子部件741周围安装。至少所述最下面的子部件742可以是截锥体的形式。最上面的子部件741可以优选是截锥体的形式或例如圆柱体。
图8显示了升降器对称放置的示例性实施方式。除了图2-4和7显示的升降器250之外,图8中的提升机构还包括在所述进给部件的相对侧上的另一个升降器850。图8中显示的示例性实施方式在其他方面的构造和操作类似于图2-4和7显示的示例性实施方式。升降器850可以是例如利用具有步进马达和导螺杆和线性馈通851等的气动致动器或线性致动器操作的升降器。还在其他的实施方式中,对称放置的升降器数量是三个或更多个。
图9和10显示的示例性实施方式以图3和4显示的示例性实施方式为基础,并由来自图7和/或8显示的示例性实施方式的特征加以补充。因此,图9和10显示的示例性实施方式除了在此的进给部件只包括两个嵌套的子部件741和742之外,其他方面的构造和操作与图3和4显示的示例性实施方式类似。任选地,所述提升机构还包括两个或更多个对称放置的升降器。
在图9中,限定膨胀空间的进给部件处于其伸展形状(基材架361和所述至少一个基材360处于供沉积的下降位置上)。在一种实施方式中,上部子部件741具有从所述上部子部件741的下缘向外延伸的外边缘(例如3-10mm宽),而下部子部件742具有从所述下部子部件742的上缘向内延伸的内边缘(例如3-10mm宽)。当所述进给部件处于其伸展形状时,所述内边缘位于外边缘上,在所述进给部件内的膨胀空间与围绕所述进给部件的居间空间之间形成基本上防渗漏的表面靠表面密封。在图10中,所述进给部件已经由提升机构变形为其收缩形状(基材架361和所述至少一个基材360处于供装载或卸载的上升位置上)。在一种实施方式中,当进给部件处于它的收缩形状时,在所述内边缘和外边缘之间有大而开放的水平间隙。当进给部件变形成其伸展形状并且所述内边缘仔细地压靠着所述外边缘时,所述间隙消失。因为在进给部件从它的伸展形状变形到它的收缩状态和回到它的伸展形状时,进给子部件的表面彼此不相摩擦,从而避免了形成粒子。
图11显示了根据示例性实施方式,使用基材架作为流动障碍物的原理。在这个实施方式中,基材架361与反应室335的整个宽度相比大得以至于它形成在反应室335中气流的主要或首要障碍物。在一个示例性实施方式中,布置在膨胀空间凸缘224等中的基材架连接件,使得所述基材架361在横向上尽可能接近反应室的中心。以这种方式,基材架361到反应室335相对侧的壁的距离(距离a和b)相同。当气流1103和1103'向着废气导向装置,在基材架361的相对侧上用箭头305表示的所述废气导向装置内的流向接近相同时,产生在基材360上更均匀的材料生长条件,这是因为在基材架下面的气体空间和基材架上面的气体空间之间形成了压力梯度,它有助于有效地引导所有的气态物质以横向从基材的中心区到基材360的外缘上和进一步到基材架361的外缘上。压力梯度是指基材架上方的压力比基材架下面的高。
为了对不均匀的气流进行补偿,或为了以其它方式调节气流,使得在所述至少一个基材上的材料生长尽可能均匀,在反应室335中可使用流动调节部件,例如图12显示的部件1290。在图12显示的示例性实施方式中,流动调节部件1290被放置在基材架361与反应室335的壁之间。在示例性实施方式中,它是环样构件。在示例实施方式中,它围绕基材架361。在示例性实施方式中,所述流动调节部件1290起到基材架361的支撑体的作用。在示例性实施方式中,它基本上填充了基材架361与反应室壁之间的空间。流动调节部件1290优选是有孔的或至少部分有水平或竖直的狭槽,以便于气体穿过所述流动调节部件的受控流动或受到所述流动调节部件控制的流动。
图13和14显示了其中包含孔(或通道)的流动调节部件1290。在这种实施方式中的流动调节操作是以较大的孔(较高的气流传导率)能够比较小的孔(较小的气流传导率)通过更多的流量这一事实为基础。根据要求,所述孔可以是大小一致的,例如图13中的孔1391,或者它们可以是大小可变的,例如图14显示的孔。在图14显示的实施方式中,在某个扇形面1495中的孔1492比其他孔1491小,导致在较小的孔的区域内流动限制。在一个实施方式中,所述流量调节部件具有可变密度的孔,使得孔密度较高的区域具有比孔密度较小的区域更高的气流传导率。
图15显示了根据示例性实施方式,在沉积反应器内加工一批基材。所述沉积反应器可从反应室1535的顶侧装载和卸载。
当膨胀空间凸缘224在其上部位置时,用携带一批竖直放置的基材1560的基材架1561可装载或卸载反应室1535。携带基材1560的基材架1561可以在横向1501中移入膨胀空间凸缘224中的连接件1563等中进行装载,和从所述连接件1563移出进行卸载。基材架1561包括夹持构件1564,例如安装在连接件1563中的钩子等。膨胀空间凸缘224与携带基材1560的基材架1561一起,可以在提升机构(图15中未显示)的帮助下以垂直方向1502移动。它可以下降到反应室1535中进行沉积,并随后在处理之后,从反应室1535出升高离开进行卸载。沉积过程期间,膨胀空间凸缘224将反应空间与围绕反应室1535的居间空间密封开。
或者,在基材架1561中的所述基材1560批可以在基材架移入反应室1535和从反应室1535移出,而不连接于膨胀空间凸缘224,例如,合适的机器人将基材架操纵器(未显示)延伸过反应室,以用新的基材架更换该基材架。
结合图15描述的加载与卸载方法和连接件也适用于本说明书中介绍的其他实施方式。
图16显示了具有手动出入舱口的可替代实施方式。与前面的示例性实施方式相似,图16显示的示例性实施方式中的自由基流1601在膨胀空间1640中膨胀成膨胀的自由基流1611。与前面的实施方式一样,等离子体发生器和基材架之间的气体空间基本上由开放的气体空间构成,使得由等离子体发生器产生的大部分自由基能够基本完好到到达基材,而不会在所述基材之前击中任何表面。任何与表面的接触将降低自由基的浓度。然而,与某些前面的实施方式介绍的不同,在这个示例性实施方式中,限定膨胀空间1640的所述部件(图16)不需要它的尺寸可变,但是可以通过安排在限定所述膨胀空间的部件一侧的手动出入舱口1625装载和卸载所述至少一个基材。所述手动出入舱口1625可以例如通过图1显示的舱口123便利地操作。
在一个示例性实施方式中,本文描述的沉积反应器是计算机控制系统。储存在所述系统存储器中的计算机程序包括指令,其在被所述系统的至少一个处理器执行时引起沉积反应器按照指令运行。所述指令可以是计算机可读程序代码的形式。图17显示了沉积反应器控制系统1700的草框图。在基本的系统设置中,工艺参数在软件的帮助下程序化,并用人机接口(HMI)终端1706执行指令并将所述指令通过以太网总线1704等下载到控制箱1702。在一个实施方式中,控制箱1702包括通用型可编程逻辑控制(PLC)单元。所述控制箱1702包括至少一个微处理器、动态和静态存储器、I/O模块、A/D和和D/A转换器、以及功率继电器,所述微处理器用于执行包括储存在存储器中的程序代码在内的控制箱软件。控制箱1702将电力送往沉积反应器的合适的阀的气动控制器,与质量流量控制器具有双向通信,控制等离子体源和自由基生成和升降器的操作,以及以其它方式控制沉积反应器的操作。控制升降器的操作包括控制升降器在用于装载或卸载的上部位置和用于沉积的下部位置之间移动携带所述至少一个基材的基材架。控制箱1702可以测量来自沉积反应器的探测读数,并将其转播到HMI终端1706。虚线1716指示沉积反应器部件和控制箱1702之间的接口线。
下面的试验实施例进一步展示了选定的示例性实施方式的操作。
实施例1
在这个实施例中,ALD反应器配备有自动晶片装载系统,和远程等离子体发生器。所述晶片装载系统以闸门阀与装载锁定凸缘122相连(图1)。在这个试验中,在没有关闭构件115下操作所述沉积反应器,并且等离子体发生器连接在传递室上凸缘121的顶部。ALD反应器的非自由基前体进给管线371、372用氮气吹扫。在每个所述进给管线中,氮气流速通常在50-150sccm的范围内。优选在进给管线中使用小氮气流速(50sccm),以保持反应室331的压力适合于用自由基加工。
远程等离子体发生器和ALD反应室之间的自由基源线用氩气吹扫。氩气的流速通常在10-100sccm的范围内。优选使用小氩气流速(20sccm)以可靠地接通等离子体。
在用真空泵保持反应器真空的同时,将ALD反应室加热到200℃。用等离子体源生成自由基期间,反应室的压力优选在0.2-1.0hPa范围内。用升降器250将膨胀空间凸缘224与嵌套的膨胀空间子部件241、242一起升起到晶片操作位置。打开闸门阀,用自动晶片装载系统将具有100-mm硅晶片的晶片叉经过膨胀空间凸缘224与反应室凸缘234之间的开放间隙,推到基材架361区段上方的空间。然后降低晶片叉,直到所述基材停放在基材架361区段上。基材架361在所述基材架的左右区段之间具有足够宽的开放间隙,使得所述晶片叉可以在基材架的侧面之间自由地上下移动,同时基材架的所述区段可以牢固地支撑从晶片叉抬起的晶片。然后,水平牵引空的晶片叉离开基材架361区段下面的空间,到达装载锁定空间(未显示)。
围绕反应室的反应器居间空间用与装载锁定凸缘122相连的闸门阀(未显示)与装载锁定空间密封开。用气动提升系统将带有基材架361的膨胀空间凸缘224降低到反应室上的沉积位置,将反应室空间与居间空间密封开。
通过将三甲基铝(TMA)蒸气经进给部件371到达反应室脉冲0.1s,启动沉积过程。TMA分子化学吸附在基材表面上并在所述表面上形成铝前体物质的分子层。然后,用从进给管线371、372中非自由基前体抵达的氮气和从等离子体发生器抵达的氩气吹扫所述反应室8s,以除去剩余的TMA分子和来源于所述表面反应的反应副产物(例如甲烷CH4分子)。
氩气连续经过远程等离子体发生器流向ALD反应室,以阻止活性气体向远程等离子体发生器返流。氩气的质量流速是20sccm。打开氧气管线在远程等离子体发生器之前的脉冲阀,以将氧气注入流动的氩气中。氧气的质量流速是50sccm。因为氧气注入改变了远程等离子体发生器内部的压力,远程等离子体发生器内部氩气和氧气混合流的稳定要等待1.5s。这种预等待状态(这个试验中为1.5s)有助于在沉积过程期间在每个脉冲程序中可靠地接通等离子体。接着,将等离子体发生器的功率水平从RF功率关闭水平(p1=0W)提高到RF功率开启水平(p2=2500W),并在该RF功率水平上保持6s以生成氧自由基。然后将该功率水平降回到RF功率关闭水平(p1=0W)。0.5s之后,关闭氧气管线的脉冲阀。在降低RF功率水平之后这种任选的后等待状态(在这个试验中为0.5s)用来帮助在沉积过程期间的每个脉冲程序中以受控的方式可靠地切断等离子体。
为了完成所述基本的脉冲顺序,用和TMA脉冲之后相同的方式吹扫系统,以从反应室除去剩余的前体分子和反应副产物。由TMA脉冲/吹扫/自由基脉冲/吹扫组成的脉冲程序重复500次。
沉积过程之后,用升降器250将膨胀空间凸缘224与嵌套的膨胀空间子部件241、242一起升起到晶片操作位置。打开闸门阀,用自动晶片装载系统将空晶片叉经过膨胀空间凸缘224与反应室凸缘234之间的开放间隙,推到基材架361区段下方的空间。然后升起晶片叉,直到所述基材停放在晶片叉上。然后,水平牵引有晶片的晶片叉离开基材架361区段上方的空间,到达装载锁定空间(未显示)。
结果,100-mm晶片具有高质量的Al2O3薄膜,在所述晶片上从49个点测量的1-σ厚度不均匀性小于2%。
实施例2
用TMA和分子氧(O2)在200℃以热ALD模式进行沉积试验,用于比较。要注意,O2过于惰性而不能与TMA分子反应,没有生长出薄膜。因此,需要氧自由基(O*)进行薄膜生长。
上述说明通过本发明的具体实行和实施方式的非限制性实例提供了发明人考虑的当前用于执行本发明的最佳方式的充分和信息的描述。然而,本领域技术人员清楚,本发明不局限于上面介绍的实施方式的细节,而是它可以使用等价手段以其他实施方式实行,而不偏离本发明特征。
此外,在没有相应使用其他特征时,以上公开的本发明实施方式的一些特征可以用来达成优势。因此,上文的描述应该被认为是仅仅说明本发明的原理,而不是限制本发明。因此,本发明的范围只受所附的专利权利要求书的制约。

Claims (15)

1.一种沉积反应器,其包括:
限定膨胀空间的进给部件,其被构造成引导反应物作为上到下的流从等离子体源流向反应室,所述膨胀空间朝向所述反应室变宽;和
提升机构,其用于从所述反应室顶侧将至少一个基材装载到所述反应室,并且
所述沉积反应器被构造成在所述反应室中通过顺序自饱和表面反应将材料沉积在所述至少一个基材上。
2.权利要求1的沉积反应器,其中所述提升机构被构造成改变所述进给部件的尺寸。
3.权利要求1或2的沉积反应器,其中所述进给部件具有收缩形状和伸展形状,并且所述提升机构被构造成推动或牵拉所述进给部件从所述伸展形状到所述收缩形状,以在所述进给部件处于其收缩形状时,允许所述至少一个基材的所述装载。
4.前述权利要求任一项的沉积反应器,其中所述进给部件被构造成垂直变形。
5.前述权利要求任一项的沉积反应器,其中所述进给部件包括一组可活动以便安装在彼此内的嵌套子部件或环样构件。
6.前述权利要求任一项的沉积反应器,其中所述进给部件由两个嵌套的子部件组成。
7.前述权利要求任一项的沉积反应器,其中在沉积期间,所述进给部件与膨胀空间凸缘相连,膨胀空间凸缘又靠着反应室的上凸缘安装。
8.前述权利要求任一项的沉积反应器,其中所述提升机构被构造成在用于装载或卸载的上部位置和用于沉积的下部位置之间移动携带所述至少一个基材的基材架。
9.前述权利要求任一项的沉积反应器,其包括在等离子体源和所述反应室之间的基材传递室。
10.权利要求8的沉积反应器,其中所述基材传递室包括用于装载锁定的界面。
11.权利要求1的沉积反应器,其包括在所述进给部件中的手动出入舱口。
12.前述权利要求任一项的沉积反应器,其中所述提升机构包括多个对称放置的升降器。
13.前述权利要求任一项的沉积反应器,其中所述沉积反应器被构造成使用携带所述至少一个基材的基材架作为所述反应空间中气流的主要障碍物。
14.前述权利要求任一项的沉积反应器,其包括在所述基材架与反应室壁之间的流量调节部件。
15.一种方法,所述方法包括:
操作根据前述权利要求任一项所述的沉积反应器。
CN201180069841.2A 2011-04-07 2011-04-07 具有等离子体源的沉积反应器 Active CN103459660B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/FI2011/050302 WO2012136875A1 (en) 2011-04-07 2011-04-07 Deposition reactor with plasma source

Publications (2)

Publication Number Publication Date
CN103459660A true CN103459660A (zh) 2013-12-18
CN103459660B CN103459660B (zh) 2016-01-06

Family

ID=46968646

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180069841.2A Active CN103459660B (zh) 2011-04-07 2011-04-07 具有等离子体源的沉积反应器

Country Status (9)

Country Link
US (1) US10494718B2 (zh)
EP (1) EP2694701B1 (zh)
JP (1) JP5885830B2 (zh)
KR (4) KR102265704B1 (zh)
CN (1) CN103459660B (zh)
RU (1) RU2571547C2 (zh)
SG (1) SG11201405415TA (zh)
TW (1) TWI519673B (zh)
WO (1) WO2012136875A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106987826A (zh) * 2017-05-22 2017-07-28 沈阳拓荆科技有限公司 一种双腔式等离子体沉积镀膜方法
CN110234793A (zh) * 2017-02-08 2019-09-13 皮考逊公司 具有可移动结构的沉积或清洁装置和操作方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102265704B1 (ko) 2011-04-07 2021-06-16 피코순 오와이 플라즈마 소오스를 갖는 퇴적 반응기
WO2014080067A1 (en) * 2012-11-23 2014-05-30 Picosun Oy Substrate loading in an ald reactor
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
EP3443139A4 (en) * 2016-04-12 2019-05-08 Picosun Oy COATING BY ALD TO REMOVE METAL BARBES
RU2728189C1 (ru) * 2016-09-16 2020-07-28 Пикосан Ой Устройство и способы для атомно-слоевого осаждения
JP6445603B2 (ja) * 2017-03-07 2018-12-26 ピコサン オーワイPicosun Oy Ald反応炉における基板の装填
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
RU2752059C1 (ru) * 2020-07-14 2021-07-22 Пикосан Ой Устройство для атомно-слоевого осаждения (ald)
RU2748658C1 (ru) * 2020-07-16 2021-05-28 Пикосан Ой Устройство для осаждения или очистки с подвижной конструкцией и способ его эксплуатации
JP7308330B2 (ja) * 2021-05-10 2023-07-13 ピコサン オーワイ 基板処理装置及び方法
FI130545B (en) * 2021-09-14 2023-11-08 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT AND METHOD

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060196418A1 (en) * 2005-03-04 2006-09-07 Picosun Oy Apparatuses and methods for deposition of material on surfaces
CN101052745A (zh) * 2004-05-12 2007-10-10 应用材料股份有限公司 用于高介电常数含铪介电材料的原子层沉积的装置和方法
US20100028122A1 (en) * 2008-08-01 2010-02-04 Picosun Oy Atomic layer deposition apparatus and loading methods

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2880902A (en) * 1957-06-03 1959-04-07 Owsen Peter Collapsible article
US3138483A (en) * 1960-01-11 1964-06-23 Polymer Processes Inc Apparatus for coating interior of hollow body
SU769834A1 (ru) * 1978-07-20 1981-09-07 Институт Физики Полупроволников Со Ан Ссср Устройство дл осождени слоев из газовой фазы
JPS63136921U (zh) * 1987-03-02 1988-09-08
US5088444A (en) * 1989-03-15 1992-02-18 Kabushiki Kaisha Toshiba Vapor deposition system
JPH0336274A (ja) * 1989-06-30 1991-02-15 Sony Corp プラズマ装置
US5458685A (en) * 1992-08-12 1995-10-17 Tokyo Electron Kabushiki Kaisha Vertical heat treatment apparatus
JPH0845907A (ja) * 1994-07-29 1996-02-16 Toshiba Corp 半導体装置のプラズマ処理方法
KR100239405B1 (ko) 1996-10-24 2000-01-15 김영환 반도체 제조장치
RU2099440C1 (ru) * 1997-01-24 1997-12-20 Плазма Текнололоджи Лимитед Способ обработки поверхностей и устройство для его осуществления
UA69453C2 (uk) * 2001-11-20 2004-09-15 Науковий Центр "Інститут Ядерних Досліджень" Національної Академії Наук України Плазмохімічний реактор
JP2004296490A (ja) * 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR100640550B1 (ko) * 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
FI119478B (fi) * 2005-04-22 2008-11-28 Beneq Oy Reaktori
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR102265704B1 (ko) 2011-04-07 2021-06-16 피코순 오와이 플라즈마 소오스를 갖는 퇴적 반응기

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101052745A (zh) * 2004-05-12 2007-10-10 应用材料股份有限公司 用于高介电常数含铪介电材料的原子层沉积的装置和方法
US20060196418A1 (en) * 2005-03-04 2006-09-07 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US20100028122A1 (en) * 2008-08-01 2010-02-04 Picosun Oy Atomic layer deposition apparatus and loading methods

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110234793A (zh) * 2017-02-08 2019-09-13 皮考逊公司 具有可移动结构的沉积或清洁装置和操作方法
CN110234793B (zh) * 2017-02-08 2020-10-02 皮考逊公司 具有可移动结构的沉积或清洁装置和操作方法
CN106987826A (zh) * 2017-05-22 2017-07-28 沈阳拓荆科技有限公司 一种双腔式等离子体沉积镀膜方法
CN106987826B (zh) * 2017-05-22 2019-03-12 沈阳拓荆科技有限公司 一种双腔式等离子体沉积镀膜方法

Also Published As

Publication number Publication date
EP2694701B1 (en) 2015-11-25
KR101923087B1 (ko) 2018-11-28
US20140087093A1 (en) 2014-03-27
JP5885830B2 (ja) 2016-03-16
KR101807231B1 (ko) 2017-12-08
KR102265704B1 (ko) 2021-06-16
JP2014517498A (ja) 2014-07-17
WO2012136875A8 (en) 2012-12-06
EP2694701A4 (en) 2014-10-22
TW201243099A (en) 2012-11-01
WO2012136875A1 (en) 2012-10-11
SG11201405415TA (en) 2014-11-27
RU2571547C2 (ru) 2015-12-20
RU2013148924A (ru) 2015-05-20
KR20140023321A (ko) 2014-02-26
EP2694701A1 (en) 2014-02-12
KR20200118244A (ko) 2020-10-14
KR20170137224A (ko) 2017-12-12
US10494718B2 (en) 2019-12-03
CN103459660B (zh) 2016-01-06
TWI519673B (zh) 2016-02-01
KR20180128514A (ko) 2018-12-03

Similar Documents

Publication Publication Date Title
CN103459660A (zh) 具有等离子体源的沉积反应器
CN103635605B (zh) 具有等离子体源的原子层沉积
US20180305813A1 (en) Methods and Apparatus for Deposition Reactors
KR101876465B1 (ko) 증착 반응기 장치 및 방법
JP5963948B2 (ja) 原子層堆積カートリッジを用いた粉末粒子コーティング
CN110234793B (zh) 具有可移动结构的沉积或清洁装置和操作方法
CN101814423A (zh) 衬底处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant