CN103325670B - 金属栅极半导体器件 - Google Patents

金属栅极半导体器件 Download PDF

Info

Publication number
CN103325670B
CN103325670B CN201210418352.XA CN201210418352A CN103325670B CN 103325670 B CN103325670 B CN 103325670B CN 201210418352 A CN201210418352 A CN 201210418352A CN 103325670 B CN103325670 B CN 103325670B
Authority
CN
China
Prior art keywords
grid structure
layer
groove
grid
work function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210418352.XA
Other languages
English (en)
Other versions
CN103325670A (zh
Inventor
黄仁安
朱鸣
刘继文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103325670A publication Critical patent/CN103325670A/zh
Application granted granted Critical
Publication of CN103325670B publication Critical patent/CN103325670B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供了方法和器件,包括设置在衬底上方的多个不同配置的栅极结构。例如,第一栅极结构与第一类型的晶体管相关联,并且包括第一介电层和第一金属层;第二栅极结构与第二类型的晶体管相关联,并且包括第二介电层、第二金属层、多晶硅层、第一介电层和第一金属层;以及伪栅极结构,包括第一介电层和第一金属层。本发明还提供了金属栅极半导体器件。

Description

金属栅极半导体器件
技术领域
本发明一般地涉及半导体技术领域,更具体地来说,涉及半导体器件及其制造方法。
背景技术
半导体集成电路(IC)工艺经历了指数增长。IC材料和设计中的技术进步产生了多代IC,其中,每一代都具有比前一代更小且更复杂的电路。在IC发展过程中,功能密度(即,每单位芯片面积上的互连器件的数量)通常增加,而几何尺寸(即,使用制造工艺可以创建的最小元件(或线))减小。这种按比例缩小工艺通常通过增加生产效率和降低相关成本来提供优点。这种按比例缩小也增加了处理和制造IC的复杂性,并且对于要实现的这些进步,需要IC处理和制造的类似发展。
在一些IC设计中,作为技术节点缩小所实现的一种进步是通过金属栅电极代替典型的多晶硅栅电极,以通过减小的特征尺寸来提高器件性能。形成金属栅叠层的一种工艺被称为替换栅极或“后栅极”工艺,其中,“最后”制造最终的金属栅叠层,这能够减少在形成栅极之后必须实施的后续工艺(包括高温处理工艺)的数目。然而,在CMOS制造中实施这种部件和工艺具有困难。对于在单个衬底上具有不同类型栅极结构的器件来说这些困难增加。
因此,期望提供一种制造具有不同配置的栅极结构的半导体器件的方法来实现替换栅极方法。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种制造半导体器件的方法,包括:形成包括第一栅极介电层、第一金属层以及上覆所述第一栅极介电层和所述第一金属层的伪层的多个栅极结构;从所述多个栅极结构的第一栅极结构和第二栅极结构中去除所述伪层的至少一部分,其中,去除所述伪层在所述第一栅极结构中提供第一沟槽以及在所述第二栅极结构中提供第二沟槽,其中,所述第二沟槽的深度大于所述第一沟槽的深度;以及在所述第一沟槽和所述第二沟槽中形成第二栅极介电层和第二金属层。
在该方法中,所述第一栅极结构与N型场效应晶体管相关联。
在该方法中,所述第二栅极结构与P型场效应晶体管相关联。
该方法进一步包括:在去除所述伪层的至少一部分之前,在所述多个栅极结构的第三栅极结构上方形成硬掩模层。
该方法进一步包括:在从所述第二栅极结构中去除所述伪层的同时,从所述多个栅极结构的第三栅极结构中去除所述伪层,其中,所述第三栅极结构是非功能性栅极。
在该方法中,从所述第二栅极结构中去除所述伪层进一步包括:去除所述第二栅极结构的第一栅极介电层和第一金属层。
该方法进一步包括:在所述第二沟槽中形成第二介电层和第二金属层。
该方法进一步包括:通过在从所述第二栅极结构中去除所述伪层的同时从所述多个栅极结构的第三栅极结构中去除所述伪层来创建第三沟槽,其中,所述第三栅极结构是非功能性栅极;以及在所述第三沟槽中形成所述第二介电层和所述第二金属层。
在该方法中,所述第一金属层是n型功函材料,而所述第二金属层是p型功函材料。
根据本发明的另一方面,提供了一种半导体器件制造方法,包括:在衬底上形成第一功函金属层和上覆多晶硅层;图案化所述第一功函金属层和所述上覆多晶硅层以形成第一栅极结构、第二栅极结构和第三栅极结构;在所述第一栅极结构上形成掩模元件;实施所述多晶硅层的第一蚀刻,使得从所述第二栅极结构和所述第三栅极结构中去除所述多晶硅层的一部分,同时所述掩模元件设置在所述第一栅极结构上;在去除所述第一栅极结构上的所述掩模元件之后,实施所述多晶硅层的第二蚀刻,使得从所述第一栅极结构中去除所述多晶硅层的一部分以形成第一沟槽,并且从所述第二栅极结构和所述第三栅极结构中去除所述多晶硅层的一部分以形成第二沟槽和第三沟槽;以及在所述第一沟槽、所述第二沟槽和所述第三沟槽中形成第二功函金属层。
在该方法中,所述第三栅极结构与伪晶体管相关联。
在该方法中,所述第二栅极结构与p型场效应晶体管相关联。
该方法进一步包括:在位于所述第一功函层下面的所述衬底上形成第一高k介电层;以及在位于所述第二功函层的下面的所述第一沟槽、所述第二沟槽和所述第三沟槽中形成第二高k介电层。
在该方法中,图案化所述第一功函层和所述多晶硅层进一步形成第四栅极结构,并且不从所述第四栅极结构中去除所述多晶硅层。
根据本发明的又一方面,提供了一种器件,包括:第一栅极结构,与第一类型的晶体管相关联并包括第一介电层和第一金属层;第二栅极结构,与第二类型的晶体管相关联并包括第二介电层、第二金属层、多晶硅层、所述第一介电层和所述第一金属层;以及伪栅极结构,包括所述第一介电层和所述第一金属层。
该器件进一步包括:第三栅极结构,与高阻抗场效应晶体管相关联,并且包括所述第一介电层、所述第一金属层和所述多晶硅层。
在该器件中,所述第三栅极结构的多晶硅层的厚度大于所述第二栅极结构的多晶硅层的厚度。
在该器件中,所述第二类型的晶体管是n型场效应晶体管。
在该器件中,所述第一类型的晶体管是p型场效应晶体管。
在该器件中,所述第一金属层是p型功函金属层,而所述第二金属层是n型功函金属层。
附图说明
当结合附图进行阅读时,根据以下详细描述可以更好地理解本发明的多个方面。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意地增加或减少。
图1是示出根据本发明的一个或多个方面制造半导体器件的方法的实施例的流程图。
图2至图17示出了根据图1的方法的一个或多个步骤的制造半导体器件的实施例的截面图。
具体实施方式
应该理解,为了实施本发明的不同部件,以下公开内容提供了许多不同的实施例或实例。以下描述元件和布置的具体实例以简化本公开内容。当然,这些仅仅是示例且并不打算进行限定。此外,以下描述的第一部件形成在第二部件上方可以包括其中第一部件和第二部件以直接接触形成的实施例,并且也可以包括其中以介于第一部件和第二部件之间的方式形成额外的部件,使得第一部件和第二部件不直接接触的实施例。为了简明和清楚,可以任意地以不同比例绘制各个部件。而且,就本公开内容提供平面晶体管的实例来说,本领域技术人员应该意识到,本公开内容也适用于多栅极器件,诸如鳍式场效应晶体管器件。
在图1中示出了制造半导体器件的方法100的流程图。方法100可用于在混合半导体器件上实施替换栅极方法。混合半导体器件包括具有不同配置的栅极结构(例如,具有不同的层成分、厚度等的栅叠层)的多个不同配置的器件。图2至图17是根据图1的方法100制造器件200的截面图。
应该理解,方法100包括具有互补金属氧化物半导体(CMOS)技术工艺流程特征的步骤,因此,本文仅简要地进行描述。可以在方法100之前、之后、和/或期间实施额外的步骤。类似地,一个步骤是可以识别出从本文所述的掺杂方法受益的器件的其他部分。
还应该理解,可以通过互补金属氧化物半导体(CMOS)技术工艺流程来制造半导体器件200的部分,因此,本文仅简要描述了一些工艺。而且,半导体器件200可以包括各种其他器件和部件,诸如,额外的晶体管、双极型晶体管、电阻器、电容器、二极管、熔丝等,但为了更好地理解本公开内容的发明概念而简化了该半导体器件。半导体器件200包括可以互连的多个半导体器件(例如,晶体管)。器件200示出了位于衬底的四个区域的每一区域中的单个栅极结构;这是为了简单和容易理解,而没有必要将实施例限制于任何数量的栅极结构、任何数量的区域、或区域结构的任何布置。
器件200可以是集成电路或其部分处理过程中所制造的中间器件,可以包括:静态随机存取存储器(SRAM)和/或其他逻辑电路;无源元件,诸如电阻器、电容器和电感器;以及有源元件,诸如P沟道场效应晶体管(PFET)、N沟道场效应晶体管(NFET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极型晶体管、高压晶体管、高频晶体管、其他存储单元和它们的组合。
方法100从框102开始,在半导体衬底上形成多个栅极结构。多个栅极结构可以包括介电层、金属栅极层(例如,功函材料)和伪层。在一个实施例中,伪层是多晶硅,然而,其他成分也是可能的。伪层可以是用于将被形成在衬底上的至少一个晶体管的牺牲层。伪层可以是用于将被形成在衬底上的至少一个晶体管的最终栅电极。栅极结构可以形成在衬底的不同区域中,例如,限定用于NFET器件的区域、限定用于PFET器件的区域、限定用于高阻晶体管的区域、限定用于非功能性晶体管(也称为伪晶体管)的区域和/或通过集成电路设计限定的其他合适区域。
参考图2的实例,示出了具有衬底202和在其上设置的多个栅极结构204的半导体器件200。
衬底202可以是硅衬底。可选地,衬底202可以包括另一种元素半导体,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟,和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或者其组合。在又一个可选实施例中,衬底202是绝缘体上半导体(SOI)。
衬底202包括第一区域206、第二区域208、第三区域210和第四区域212。隔离结构216介于区域之间。在一个实施例中,第一区域206是n型场效应晶体管区域。在一个实施例中,第二区域208是p型场效应晶体管区域。在一个实施例中,第三区域210是高阻抗电阻器区域。在一个实施例中,第四区域212是伪晶体管(例如,非功能性晶体管)区域。第四区域212可以提供在衬底的导电层、半导体层、或绝缘层(例如,氧化硅)部分上的栅极结构。应该注意,这些区域仅仅是示例性的,并不打算进行类型、结构、布置等限制。
隔离结构216可以由氧化硅、氮化硅、氮氧化硅、掺氟硅酸盐玻璃(FSG)、低k介电材料和/或其他合适的绝缘材料形成。隔离结构216可以是浅沟槽隔离(STI)部件。在一个实施例中,隔离结构216是STI部件并通过在衬底202中蚀刻沟槽来形成。沟槽可以填充有隔离材料,然后进行化学机械抛光(CMP)。诸如场氧化物、LOCOS和/或其他合适结构的其他隔离结构216也是可以的。例如,隔离结构216可以包括具有一个或多个衬里层的多层结构。
栅极结构204包括界面层214、栅极介电层218和金属栅极层220。伪层222(例如,多晶硅)设置在金属栅极层220上。在一个实施例中,例如,栅极结构204可以进一步包括介于栅极介电层218和金属栅极层220之间的保护层。
界面层214可以包括诸如氧化硅层(SiO2)或氮氧化硅(SiON)的介电材料。界面层214可以通过化学氧化、热氧化、原子层沉积(ALD)、CVD、和/或其他合适的电介质形成。
介电层218可以是栅极介电层。栅极介电层218可以包括诸如氧化铪(HfO2)的高k介电层。可选地,高k介电层可以任选地包括其他高k电介质,诸如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、它们的组合或者其他合适的材料。介电层218可以通过原子层沉积(ALD)和/或其他合适的方法形成。
金属栅极层220包括功函金属。功函值与功函层的材料成分相关联,因此,选择第一功函层的材料以调整其功函值,使得在将要形成在对应区域内的器件中实现期望阈值电压Vt。在一个实施例中,金属栅极层220是n型功函金属。可包括在栅极结构204中的示例性n型功函金属包括:Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合适的n型功函材料或者它们的组合。可以通过CVD、PVD、和/或其他合适的工艺沉积金属栅极层220。
多晶硅层222可以通过诸如低压化学气相沉积(LPCVD)和等离子增强CVD(PECVD)的合适的沉积工艺形成。在一个实施例中,硬掩模层设置在栅极结构上。在另一个实施例中,硬掩模层包括第一层224和第二层226。在实例中,第一层224包括氧化硅,在另一个实例中,第二层226包括氮化硅。
然后,方法100继续至框104,其中,形成源极区/漏极区。源极区/漏极区可以包括引入合适的掺杂剂类型:n型或p型掺杂剂。源极区/漏极区可以包括晕环注入或低剂量漏极(LDD)注入、源极/漏极注入、源极/漏极激活和/或其他合适的工艺。在其他实施例中,源极区/漏极区可以包括凸起的源极区/漏极区、应变区、外延生长区、和/或其他合适的技术。在另一个实施例中,源极/漏极激活工艺可以包括诸如在大约1010摄氏度(C)的温度下的快速热退火。参考图3的实例,示出了源极/漏极注入304。源极/漏极注入302可以称为晕环注入或LDD注入。参考图5的实例,示出了源极/漏极注入502。
在一个实施例中,密封层形成在栅极结构上。在诸如晕环注入或低剂量漏极(LDD)注入的源极/漏极形成之前,可以形成密封层。在一个实施例中,密封层包括氮化硅。参考图3的实例,密封层302形成在栅极结构204上。在一个实施例中,密封层302具有约30埃的厚度。此外,图3还示出了晕/LDD注入304。
在一个实施例中,在源极区/漏极区(或其部分)形成之前或之后,可以形成邻接栅极结构的侧壁的隔离元件。可以通过沉积介电材料,随后进行各项同性蚀刻工艺来形成隔离元件,然而,其他实施例也是可以的。在一个实施例中,隔离元件包括氧化硅、氮化硅和/或其他合适的电介质。隔离元件可以包括多个层。例如,在一个实施例中,隔离元件包括约的氧化物和约的氮化硅。
参考图4的实例,形成邻接栅极结构204的侧壁的隔离元件402。隔离元件402还可以称为主隔离壁。隔离元件402可以包括具有均匀厚度的衬里层(例如,氧化物)和诸如D形的隔离件的上面的主隔离层(例如,氮化物)。
在一个实施例中,框104包括硅化掺杂源极区/漏极区。硅化物材料可以包括硅化镍(NiSi)、镍铂硅化物(NiPtSi)、镍铂锗硅化物(NiPtGeSi)、镍锗硅化物(NiGeSi)、硅化镱(YbSi)、硅化铂(PtSi)、硅化铱(IrSi)、硅化铒(ErSi)、硅化钴(CoSi)、其他合适的导电材料和/或它们的组合。硅化物部件可以通过包括以下步骤的工艺形成:沉积金属层;对金属层进行退火,使得金属层能够与硅发生反应以形成硅化物;然后去除未反应的金属层。在一个实施例中,通过在衬底上方沉积约的镍来形成硅化镍。参考图6的实施例,如上文参考图5所讨论的形成的源极区/漏极区进行硅化以形成硅化物区602。
然后,方法100继续至框106,在多个栅极结构上形成接触蚀刻停止层(CESL)和/或中间介电层。可以用于形成CESL的材料的实例包括氮化硅、氧化硅、氮氧化硅、和/或本领域公知的其他材料。CESL可以通过PECVD和/或其他合适的沉积或氧化工艺来形成。介电层可以包括材料,诸如正硅酸乙酯(TEOS)氧化物、未掺杂的硅玻璃、或者掺杂的氧化硅,诸如掺硼磷硅玻璃(BPSG)、熔融石英玻璃(FSG)、掺磷硅玻璃(PSG)、掺硼硅玻璃(BSG)、和/或其他合适的介电材料。可以通过PECVD工艺或其他合适的沉积技术来沉积介电层。参考图8的实例,CESL802和介电层804设置在衬底202上。
在一个实施例中,在形成CESL和/或中间介电层之前,可以实施隔离元件和/或硬掩模材料的部分去除。可以通过诸如包含高温H3PO4的湿蚀刻工艺的合适的工艺来进行隔离元件的部分去除。在一个实施例中,约120℃的H3PO4用于去除部分隔离元件(例如,SiN)。在一个实施例中,通过干蚀刻工艺去除硬掩模层。参考图7的实例,示出了隔离元件702,其中,减小隔离元件402(图4)的厚度并且去除硬掩模层224和226。CESL802和/或介电层804可以形成在隔离元件702上。
然后,方法100继续至框108,其中,实施平坦化工艺暴露栅极结构的顶面。平坦化工艺可以包括化学机械平坦化(CMP)。参考图9的实例,已实施平坦化工艺以形成表面902并且暴露栅极结构204的多晶硅层222。
然后,方法100继续至框110,在衬底(例如,提供第一类型晶体管的区域)的区域上形成硬掩模的掩模元件。在一个实施例中,形成均匀硬掩模层并且随后使用光刻和蚀刻(例如,干蚀刻)技术进行图案化。在一个实施例中,硬掩模层包括TiN。在一个实施例中,硬掩模层的厚度约为20埃硬掩模的掩模元件可以形成在提供特定晶体管类型(诸如高阻抗电阻)的衬底区域上。
参考图10的实例,硬掩模材料层1002形成在衬底202上。如图11所示,图案化硬掩模层1002以形成掩模元件1104。可以使用光刻胶掩模元件1102形成硬掩模的掩模元件1104。在一个实施例中,掩模元件1104设置在衬底202的第三区域210上。在又一个实施例中,掩模元件1004形成在衬底202提供高阻抗电阻的区域上方。然而,具有与掩模栅极结构相关联的不同功能的晶体管的其他实施例也是可以的。
然后,方法100继续至框112,其中,掩模元件形成在诸如与通过框110的掩模元件所限定的区域不同的衬底的另一区域上。在一个实施例中,框112的掩模元件形成在包括第二类型晶体管的衬底的区域上。在另一个实施例中,掩模元件形成在具有n型场效应晶体管和p型场效应晶体管中的一个的衬底的区域上。掩模元件可以包括使用诸如曝光和显影的合适工艺的图案化的光刻胶。参考图12的实例,光刻胶部件1202设置在衬底202上。光刻胶部件1202提供保护衬底202的第一区域206的掩模元件。在一个实施例中,光刻胶部件1202上覆与NFET器件相关联的栅极结构。
然后,方法100继续至框114,去除栅极结构层的部分。在一个实施例中,去除衬底的一个或多个区域内的栅极结构的部分多晶硅层。在一个实施例中,去除与PFET和/或伪栅极结构相关联的栅极结构的部分多晶硅层。在给定区域中,例如,多晶硅层的厚度可以减小约50%。可以使用合适的湿蚀刻、干蚀刻、等离子体蚀刻、和/或其他工艺来蚀刻多晶硅层。
参考图12的实例,设置在衬底202的第二区域208和第四区域212的栅极结构204中的多晶硅层222的厚度减小,以提供多晶硅层1204。在一个实施例中,第二区域208设置有与PFET器件相关联的栅极结构。在一个实施例中,第四区域212设置有与伪器件相关联的栅极结构。
然后,方法100继续至框116,其中,去除掩模元件。以上参考框112描述的掩模元件(例如,光刻胶)可以从衬底上去除。在一个实施例中,以上参考框110描述的硬掩模的掩模元件可以保持在衬底上。参考图13的实例,从衬底202去除掩模元件1202和1102(参见图12)。去除掩模元件暴露了衬底202的第一区域206、第二区域208和第四区域212的栅极结构。
然后,方法100继续至框118,去除部分栅极结构以形成沟槽。在一个实施例中,可以去除栅极结构在衬底的一个或多个区域中的剩余多晶硅层,并且栅极结构在衬底的另一个区域内的多晶硅层的厚度可以减小。硬掩模的掩模元件可以保护衬底的又一个区域中的栅极结构。参考图13的实例,衬底的第一区域206内的栅极结构204具有通过部分去除多晶硅层222所形成的沟槽1302。衬底的第二区域208内的栅极结构204具有通过去除部分多晶硅层1204(例如,在指定区域内全部去除多晶硅层222)所形成的沟槽1304。衬底的第四区域212内的栅极结构204具有通过部分去除多晶硅层1204(例如,在指定区域内全部去除多晶硅层222)所形成的沟槽1306。应该注意,在去除整个多晶硅层222之后和/或通过去除整个多晶硅层222的位置中,暴露金属层220和介电层218。还可以去除金属层220和介电层218(参见沟槽1304和1306)。在一个实施例中,界面层216保持在沟槽1304和/或1306中,然而,其他实施例是可以的。
然后,方法100继续至框120,其中,在通过方法100的框118和/或112所提供的沟槽中形成金属栅极。形成的金属栅极也可以包括栅极介电层、保护层、填充层和/或其他合适的层。金属栅极包括的功函金属层可以是n型功函层或p型功函层。示例性p型功函材料包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN,其他合适的p型功函材料或者它们的组合。示例性n型功函材料包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr,其他合适的n型功函材料或者它们的组合。功函层可以包括多个层。可以通过CVD、PVD、和/或其他合适工艺来沉积功函层。在一个实施例中,形成的金属栅极是包括p型功函层的p型金属栅极。
金属栅极结构的介电层可以包括高k介电层,诸如氧化铪(HfO2)。可选地,高k介电层可以可选地包括其他高k电介质,诸如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、它们的组合或者其他合适的材料。可以通过ALD和/或其他合适的方法来形成介电层。介电层可以具有与在以上在框102中所述的栅极结构内形成的介电层的相同组成或不同组成。
金属栅极结构的填充层可以包括AL、W、或Cu和/或其他合适的材料。填充金属可以通过CVD、PVD、电镀、和/或其他合适的工艺形成。填充金属可以沉积在功函金属层的上方,从而填充在沟槽或开口的剩余部分中。
参考图14的实例,栅极介电层1402形成在衬底上。栅极介电层1402可以是如以上所讨论的高k电介质。金属层1404形成在栅极介电层1402上。金属层1404可以是功函金属层。在一个实施例中,金属层1404具有与金属栅极层220相反的导电型(n型或p型)。在一个实施例中,金属层1404是p型功函层。填充金属层1406形成在金属层1404上,以填充剩余沟槽(图13中的沟槽1302、1304和1306)。
在形成栅极介电层1402、金属层1404和填充层1406之后,可以实施平坦化工艺。参考图15的实例,平坦化图14的器件,以从介电层804的表面去除金属栅极层。
因此,栅极结构1502形成在衬底202的第一区域206中。栅极结构1502包括界面层214、介电层218、金属层220、多晶硅层222、介电层1402、金属层1404和填充层1406。在一个实施例中,金属栅极层220提供栅极结构1502的功函值。在一个实施例中,栅极结构1502提供用于NFET器件的栅极。
此外,栅极结构1504形成在衬底202的第二区域208内。栅极结构1504包括界面层214、介电层1402、金属层1404和填充层1406。在一个实施例中,金属层1404提供栅极结构1504的功函值。在一个实施例中,栅极结构1504提供用于PFET器件的栅极。
此外,栅极结构1506形成在衬底202的第三区域210中。可以通过平坦化工艺去除硬掩模层1104。栅极结构1506包括界面层214、介电层218、金属层220、多晶硅层222。在一个实施例中,栅极结构1506提供了用于高阻抗器件的栅极。
最后,栅极结构1508形成在衬底202的第四区域212中。栅极结构1508包括界面层214、介电层1402、金属层1404和填充层1406。在一个实施例中,栅极结构1508提供了用于伪器件的栅极。应该注意,栅极结构1504可以形成在衬底202的导电部分上或形成在隔离区上。
应该注意,可以通过图15示出了方法100的一个优点。在平坦化衬底202期间,栅极结构1508提供了合适的平坦化停止层,例如,由于包括在栅极结构1508内的金属层减少了凹陷效果。例如,通过栅极结构1508所设置的材料的强度或硬度来抵消衬底的第四区域212的下压力。
然后,方法100继续至框122,其中,形成层间介电(ILD)层。ILD层可以包括介电材料,诸如正硅酸乙酯(TEOS)氧化物、未掺杂硅玻璃,或掺杂氧化硅(诸如掺硼磷硅玻璃(BPSG)、熔融石英玻璃(FSG)、掺磷硅玻璃(PSG)、掺硼硅玻璃(BSG)和/或其他合适的介电材料。可以通过PECVD工艺或其他合适的沉积技术来沉积ILD层。ILD层可以具有与以上在框106中描述的介电层的相同组成或不同组成。
参考图16的实例,ILD层1602设置在衬底202上。介电层1602包括介电层804和以上参考图15描述的平坦化栅极结构之后沉积的介电材料。介电层1602可以包括一种或多种介电材料组成。ILD层1602的厚度可以是约
然后,方法100继续至框124,其中,形成与衬底202上的一个或多个部件的接触件。接触件可以提供与多层互连件(MLI)的一个或多个互连层的互连。接触可以包括钨或其他合适的导电元素。可以通过在ILD层中蚀刻沟槽或开口并且通过要形成通孔的导电材料填充沟槽来形成接触件。参考图17的实例,接触件1702形成在衬底202上。接触件1702提供与源极区/漏极区和/或栅极结构的电连接。
总之,本文所公开的方法和器件提供在衬底上的具有混合(或不同)布置的栅极结构的方法和器件。在一个实施例中,先栅极工艺用于限定一种类型的晶体管(例如,NFET),而后栅极或替换栅极类型的方法用于限定另一类晶体管(例如,PFET)。在另一个的实施例中,提供伪栅极(或非功能型栅极),然后,用于限定第二晶体管类型的后栅极或替换栅极类型的方法。因此,在一个实施例中,在衬底上设置PFET伪器件。在这种情况下,本公开内容提供了可以优于现有技术器件的优点的实施例。例如,使用图1中方法的实施例可以改善穿过衬底和/或衬底区域的栅极高度变化、CMP引起的过抛光、CMP引起的欠抛光(例如,参见传统替换栅极方法)。一种类型的栅极结构(例如,PFET)的整体图案化密度可以增加(有源器件和伪器件)。在一个实施例中,具有使用替换栅极方法形成的金属栅极(例如,栅极形成在源极/漏极和/或通过填充由去除第一栅极结构提供的沟槽之后)的器件的整体图案化密度增加。应该理解,本文公开的不同实施例提供了不同公开内容,并且可以在不背离本公开内容的主旨和范围的情况下,本文可以进行各种改变、替换和更改。

Claims (18)

1.一种制造半导体器件的方法,包括:
形成包括第一栅极介电层、第一金属层以及上覆所述第一栅极介电层和所述第一金属层的伪层的多个栅极结构;
在第三栅极结构上形成掩蔽元件;
从所述多个栅极结构的第一栅极结构和第二栅极结构中去除所述伪层的第一部分,同时所述掩蔽元件设置在所述第三栅极结构上;
在去除所述伪层的第一部分之后,从所述第三栅极结构处去除所述掩蔽元件;
在去除所述掩蔽元件之后,去除所述伪层的第二部分,其中,所述第二部分包括所述第一栅极结构和所述第二栅极结构中所述伪层的剩余部分,其中,去除所述伪层的第二部分在所述第一栅极结构中提供第一沟槽以及在所述第三栅极结构中提供第二沟槽,其中,所述第一沟槽的深度大于所述第二沟槽的深度;以及
在所述第一沟槽和所述第二沟槽中形成第二栅极介电层和第二功函金属层。
2.根据权利要求1所述的方法,其中,所述第三栅极结构与N型场效应晶体管相关联。
3.根据权利要求2所述的方法,其中,所述第一栅极结构与P型场效应晶体管相关联,并且所述第二栅极结构是伪栅极结构。
4.根据权利要求1所述的方法,进一步包括:
在去除所述伪层的第一部分之前,在所述多个栅极结构的第四栅极结构上方形成硬掩模层和所述掩蔽元件。
5.根据权利要求1所述的方法,其中,从所述第一栅极结构和第二栅极结构中去除所述伪层的第二部分进一步包括:去除所述第一栅极结构和所述第二栅极结构的第一栅极介电层和第一金属层。
6.根据权利要求1所述的方法,进一步包括:
通过从所述第二栅极结构中去除所述伪层的第二部分来创建第三沟槽,其中,所述第二栅极结构是非功能性栅极;以及
在所述第三沟槽中形成所述第二栅极介电层和所述第二功函金属层。
7.根据权利要求1所述的方法,其中,所述第一金属层是n型功函材料,而所述第二功函金属层是p型功函材料。
8.一种半导体器件制造方法,包括:
在衬底上形成第一功函金属层和上覆多晶硅层;
图案化所述第一功函金属层和所述上覆多晶硅层以形成第一栅极结构、第二栅极结构和第三栅极结构;
在所述第一栅极结构上形成掩模元件;
实施所述多晶硅层的第一蚀刻,使得从所述第二栅极结构和所述第三栅极结构中去除所述多晶硅层的一部分,同时所述掩模元件设置在所述第一栅极结构上;
在去除所述第一栅极结构上的所述掩模元件之后,实施所述多晶硅层的第二蚀刻,使得从所述第一栅极结构中去除所述多晶硅层的一部分以形成第一沟槽,并且从所述第二栅极结构和所述第三栅极结构中去除所述多晶硅层的一部分以形成第二沟槽和第三沟槽;以及
在所述第一沟槽、所述第二沟槽和所述第三沟槽中形成第二功函金属层。
9.根据权利要求8所述的方法,其中,所述第三栅极结构与伪晶体管相关联。
10.根据权利要求9所述的方法,其中,所述第二栅极结构与p型场效应晶体管相关联。
11.根据权利要求8所述的方法,进一步包括:
在位于所述第一功函层下面的所述衬底上形成第一高k介电层;以及
在位于所述第二功函层的下面的所述第一沟槽、所述第二沟槽和所述第三沟槽中形成第二高k介电层。
12.根据权利要求8所述的方法,其中,图案化所述第一功函层和所述多晶硅层进一步形成第四栅极结构,并且不从所述第四栅极结构中去除所述多晶硅层。
13.一种器件,包括:
第一栅极结构,与第一类型的晶体管相关联并包括第一介电层和第一金属层;
第二栅极结构,与第二类型的晶体管相关联并包括第二介电层、第二金属层、多晶硅层、所述第一介电层和所述第一金属层;以及
伪栅极结构,包括所述第一介电层和所述第一金属层,其中,所述伪栅极结构与所述第一栅极结构和所述第二栅极结构彼此隔离,以作为平坦化停止层。
14.根据权利要求13所述的器件,进一步包括:
第三栅极结构,与高阻抗场效应晶体管相关联,并且包括所述第一介电层、所述第一金属层和所述多晶硅层。
15.根据权利要求14所述的器件,其中,所述第三栅极结构的多晶硅层的厚度大于所述第二栅极结构的多晶硅层的厚度。
16.根据权利要求13所述的器件,其中,所述第二类型的晶体管是n型场效应晶体管。
17.根据权利要求15所述的器件,其中,所述第一类型的晶体管是p型场效应晶体管。
18.根据权利要求13所述的器件,其中,所述第一金属层是p型功函金属层,而所述第二金属层是n型功函金属层。
CN201210418352.XA 2012-03-20 2012-10-26 金属栅极半导体器件 Active CN103325670B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/424,935 2012-03-20
US13/424,935 US8586436B2 (en) 2012-03-20 2012-03-20 Method of forming a variety of replacement gate types including replacement gate types on a hybrid semiconductor device

Publications (2)

Publication Number Publication Date
CN103325670A CN103325670A (zh) 2013-09-25
CN103325670B true CN103325670B (zh) 2016-01-13

Family

ID=49194346

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210418352.XA Active CN103325670B (zh) 2012-03-20 2012-10-26 金属栅极半导体器件

Country Status (4)

Country Link
US (1) US8586436B2 (zh)
KR (1) KR101363699B1 (zh)
CN (1) CN103325670B (zh)
TW (1) TWI509670B (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9679984B2 (en) * 2012-11-07 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure with multi-layer composition
US9064857B2 (en) * 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9209182B2 (en) 2012-12-28 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy metal gate structures to reduce dishing during chemical-mechanical polishing
US8993389B2 (en) * 2013-01-04 2015-03-31 International Business Machines Corporation Dummy gate interconnect for semiconductor device
JP6026914B2 (ja) * 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20140108982A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 메모리 장치 및 그 제조 방법
KR20150140329A (ko) * 2013-05-02 2015-12-15 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액, 및 반도체 기판 제품의 제조 방법
US8912057B1 (en) * 2013-06-05 2014-12-16 Globalfoundries Inc. Fabrication of nickel free silicide for semiconductor contact metallization
KR102089682B1 (ko) 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9478534B2 (en) * 2013-10-08 2016-10-25 Globalfoundries Inc. Lateral BiCMOS replacement metal gate
US9263583B2 (en) * 2013-10-14 2016-02-16 Globalfoundries Inc. Integrated finFET-BJT replacement metal gate
CN104681424B (zh) * 2013-11-27 2018-07-10 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US20150171104A1 (en) 2013-12-12 2015-06-18 Cypress Semiconductor Corporation Complementary sonos integration into cmos flow
US9219155B2 (en) 2013-12-16 2015-12-22 Intel Corporation Multi-threshold voltage devices and associated techniques and configurations
CN104733388B (zh) * 2013-12-20 2017-10-31 中芯国际集成电路制造(上海)有限公司 高介电常数绝缘层金属栅半导体器件制造方法
US9287372B2 (en) 2013-12-27 2016-03-15 Taiwan Semiconductor Manufacturing Company Limited Method of forming trench on FinFET and FinFET thereof
US9397100B2 (en) 2013-12-29 2016-07-19 Texas Instruments Incorporated Hybrid high-k first and high-k last replacement gate process
US20150243663A1 (en) * 2014-02-24 2015-08-27 United Microelectronics Corp. Method for manufacturing semiconductor device and device manufactured using the same
US9246002B2 (en) 2014-03-13 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for semiconductor device
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9478636B2 (en) 2014-05-16 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device including source/drain contact having height below gate stack
US9608086B2 (en) * 2014-05-20 2017-03-28 Global Foundries Inc. Metal gate structure and method of formation
US9461144B2 (en) 2014-06-13 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
CN105206529A (zh) * 2014-06-18 2015-12-30 中国科学院微电子研究所 一种鳍式场效应晶体管及其制造方法
US9209186B1 (en) 2014-06-26 2015-12-08 Globalfoundries Inc. Threshold voltage control for mixed-type non-planar semiconductor devices
TWI653762B (zh) 2014-10-08 2019-03-11 聯華電子股份有限公司 具有金屬閘極之半導體元件之製作方法
US9431304B2 (en) 2014-12-22 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gates
TWI634592B (zh) * 2015-02-17 2018-09-01 聯華電子股份有限公司 製作半導體元件的方法
US9941376B2 (en) 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
KR102373622B1 (ko) * 2015-05-11 2022-03-11 삼성전자주식회사 반도체 장치
US9559205B2 (en) 2015-05-29 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9824929B2 (en) 2015-10-28 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
US9620610B1 (en) 2015-10-28 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
US9570571B1 (en) * 2015-11-18 2017-02-14 International Business Machines Corporation Gate stack integrated metal resistors
US9917017B2 (en) 2015-12-29 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for semiconductor devices
US10115796B2 (en) * 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US9899416B2 (en) * 2016-01-11 2018-02-20 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9881872B2 (en) * 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10431583B2 (en) 2016-02-11 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
CN107369650B (zh) * 2016-05-11 2019-12-31 中芯国际集成电路制造(上海)有限公司 多阈值电压晶体管及其形成方法
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10304685B2 (en) * 2017-08-14 2019-05-28 United Microelectronics Corp. Manufacturing method of integrated circuit
KR102481476B1 (ko) * 2017-11-17 2022-12-26 삼성전자 주식회사 반도체 소자
US10211253B1 (en) 2017-11-29 2019-02-19 Omnivision Technologies, Inc. Self-alignment of a pad and ground in an image sensor
TWI658585B (zh) * 2018-03-30 2019-05-01 世界先進積體電路股份有限公司 半導體結構及其製造方法
US10529629B2 (en) 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gates
US10644125B2 (en) 2018-06-14 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates and manufacturing methods thereof
US10971366B2 (en) 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition
US10923565B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact air gap formation
US11158533B2 (en) 2018-11-07 2021-10-26 Vanguard International Semiconductor Corporation Semiconductor structures and fabrication method thereof
US11257921B2 (en) 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
TWI809384B (zh) * 2020-04-28 2023-07-21 台灣積體電路製造股份有限公司 積體電路結構及其形成方法
US20210335991A1 (en) * 2020-04-28 2021-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with feol resistor
US20230020099A1 (en) * 2021-07-15 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. NFET with Aluminum-Free Work-Function Layer and Method Forming Same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5912842A (en) * 1995-11-14 1999-06-15 Programmable Microelectronics Corp. Nonvolatile PMOS two transistor memory cell and array
JP2003086798A (ja) * 2001-09-13 2003-03-20 Nec Corp 半導体装置およびその製造方法
US7060568B2 (en) * 2004-06-30 2006-06-13 Intel Corporation Using different gate dielectrics with NMOS and PMOS transistors of a complementary metal oxide semiconductor integrated circuit
US7208793B2 (en) 2004-11-23 2007-04-24 Micron Technology, Inc. Scalable integrated logic and non-volatile memory
KR100859256B1 (ko) * 2006-12-28 2008-09-18 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US20090087956A1 (en) * 2007-09-27 2009-04-02 Texas Instruments Incorporated Dummy Contact Fill to Improve Post Contact Chemical Mechanical Polish Topography
DE102007046849B4 (de) * 2007-09-29 2014-11-06 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
KR20100082170A (ko) * 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
DE102009055392B4 (de) * 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8324118B2 (en) * 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure

Also Published As

Publication number Publication date
TWI509670B (zh) 2015-11-21
KR101363699B1 (ko) 2014-02-14
KR20130106752A (ko) 2013-09-30
US20130249010A1 (en) 2013-09-26
CN103325670A (zh) 2013-09-25
US8586436B2 (en) 2013-11-19
TW201349310A (zh) 2013-12-01

Similar Documents

Publication Publication Date Title
CN103325670B (zh) 金属栅极半导体器件
US11211323B2 (en) Method of fabricating field effect transistor having non-orthogonal gate electrode
CN107871739B (zh) 集成电路器件
US8304839B2 (en) Poly resistor and poly eFuse design for replacement gate technology
CN101661936B (zh) 半导体装置及其制造方法
US8624327B2 (en) Integrated semiconductor structure for SRAM and fabrication methods thereof
US9070624B2 (en) Semiconductor device including polysilicon resistor and metal gate resistor and methods of fabricating thereof
US8609484B2 (en) Method for forming high-K metal gate device
US8476126B2 (en) Gate stack for high-K/metal gate last process
US9524934B2 (en) Integrated circuits with electrical fuses and methods of forming the same
TWI639218B (zh) 半導體元件與其製造方法
KR20110073214A (ko) 전계 효과 트랜지스터의 금속 게이트 구조
US20120252180A1 (en) Manufacturing method of semiconductor integrated circuit device
CN103426770A (zh) 金属栅极finFET器件及其制造方法
US9831130B2 (en) Method for forming semiconductor device structure
US9287259B2 (en) Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device
TWI484592B (zh) 具有金屬閘極電晶體與電阻結構之半導體元件及其製作方法之方法
KR102572469B1 (ko) 금속 게이트에 대한 방법 및 구조물
US8860151B2 (en) Semiconductor device having a spacer and a liner overlying a sidewall of a gate structure and method of forming the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant