CN102841308B - 半导体集成电路 - Google Patents

半导体集成电路 Download PDF

Info

Publication number
CN102841308B
CN102841308B CN201210206994.3A CN201210206994A CN102841308B CN 102841308 B CN102841308 B CN 102841308B CN 201210206994 A CN201210206994 A CN 201210206994A CN 102841308 B CN102841308 B CN 102841308B
Authority
CN
China
Prior art keywords
scanning
clock
trigger
circuit
semiconductor integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210206994.3A
Other languages
English (en)
Other versions
CN102841308A (zh
Inventor
伊藤博昭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lapis Semiconductor Co Ltd
Original Assignee
Lapis Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lapis Semiconductor Co Ltd filed Critical Lapis Semiconductor Co Ltd
Publication of CN102841308A publication Critical patent/CN102841308A/zh
Application granted granted Critical
Publication of CN102841308B publication Critical patent/CN102841308B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • G01R31/318563Multiple simultaneous testing of subparts

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种能够在短时间内精度良好地检测跳变扫描测试中的跳变故障的半导体集成电路。在半导体集成电路(1)中,构成为在高速时钟工作块(高速时钟组)和低速时钟工作块(低速时钟组)这样的工作频率不同的块间配置扫描链,在半导体集成电路(1)的跳变扫描测试的获取工作时,利用跳变扫描用时钟控制电路(7)停止向低速时钟组的扫描FF供给的时钟。由此,不需要低速时钟组的扫描FF中的信号屏蔽。

Description

半导体集成电路
技术领域
本发明涉及半导体集成电路,特别涉及以能够进行跳变扫描测试的方式构成的半导体集成电路。
背景技术
伴随着近年来的半导体集成电路的高速化以及大规模化,要求能够在短时间内对所制造的半导体集成电路实施检查或工作试验的方法。作为半导体集成电路的测试方法,存在扫描测试。
用于进行扫描测试的扫描电路例如如图4所示的扫描电路100那样,是用扫描触发器(扫描FF)103a~103d置换了半导体集成电路内的触发器(D-FF)的结构。扫描FF是具有扫描输入端子和扫描输出端子的触发器,依次将位于前级的扫描FF的扫描输出端子Q和位于后级的扫描FF的扫描输入端子SD连接,形成扫描路径。具体地说,是以下结构:如图4所示,在触发器的数据输入D设置多路选择器MUX,以能够从外部向该触发器直接输入数据的方式设置扫描输入端子SD,由此,利用多路选择器MUX的选择端子(也称为扫描使能端子)SS切换通常的工作时的数据输入D和扫描输入SD。此外,扫描FF103a~103d的扫描输出Q与通常的工作时的数据输出是共同的。
在扫描电路100中,连结扫描FF(将其称为扫描链),控制各扫描FF的扫描使能端子,由此,能够进行移位寄存器工作。由此,能够将顺序电路作为组合电路进行测试。即,当利用扫描使能信号选择扫描FF的数据输入D时,触发器取入来自组合电路101的值(将其称为获取工作)。此外,在扫描使能信号选择扫描FF的扫描输入SD时,扫描FF进行移位工作(将其称为扫描移位工作)。
另一方面,有在一个半导体集成电路中存在以不同的频率的时钟进行工作的区域的情况,以全速(at_speed)执行这样的区域间的数据传送测试,所以,例如在专利文献1中,生成基本时钟和对该基本时钟进行二分频后的分频时钟。此外,在专利文献2中也公开了使用了频率和相位的至少一方不同的多个时钟信号的半导体装置的扫描测试。
作为缩短上述的扫描测试的测试时间的方法,已知有压缩扫描。图5示出压缩扫描电路的一个例子。图5的压缩扫描电路200的图案展开电路201为以下结构:经由多路选择器217将多个扫描输入端子211连接到分别由多级(此处是5级)扫描FF205构成的8个扫描链207。与扫描链连接的扫描输入在扫描移位中动态地切换。此外,在图案压缩电路203中,各扫描链207经由异或(EX-OR)门219与多个扫描输出端子213连接。由于扫描测试时间的大部分是扫描移位所需要的时间,所以,通过使用图5所示的压缩扫描电路200,从而各扫描链的扫描触发器的级数减少,所以,扫描移位时间变短,其结果是,能够缩短扫描测试时间。
专利文献1:日本特开2009-36668号公报;
专利文献2:日本特开2010-197291号公报。
在对如图7所示那样的以高速时钟进行工作的高速时钟触发器305和以低速时钟进行工作的低速时钟触发器307混合存在的半导体集成电路进行扫描测试的情况下,需要能够从外部直接控制扫描FF的时钟。即,在扫描测试时,若存在分频电路301,则不能够进行扫描测试,所以,需要对分频电路301进行旁路。因此,在扫描测试时需要不同的频率的时钟的情况下,也考虑从外部提供其它时钟,但是,存在如下问题:由于半导体芯片的电极焊盘数或封装的端子数方面存在限制,所以,不能够采用供给其它时钟的结构。
另一方面,在要以同一外部时钟端子供给不同的频率的时钟来实施跳变扫描测试的情况下,在高速时钟触发器的测试时,不对低速时钟触发器补偿工作,所以,需要屏蔽(mask)扫描FF的期待值来实施测试。此时,在同时还应用压缩扫描的情况下,如图5所示,图案压缩电路由EX-OR门构成,所以,当对低速时钟触发器的扫描FF的期待值进行屏蔽时,也屏蔽了位于其它扫描链的同一级的高速时钟的扫描FF。其结果是,存在压缩扫描中的故障的检测率降低的问题。并且,关于未被压缩扫描发现的故障,例如,如图6所示,使用对图案展开电路/图案压缩电路进行旁路而构成的压缩旁路模式进行故障检测,产生跳变扫描测试的测试时间变长由压缩旁路增加了扫描FF的级数的量的问题。
发明内容
本发明是为了解决上述课题而提出的,其目的在于提供一种半导体集成电路,能够以短时间并且精度良好地检测在半导体集成电路中构筑的逻辑电路等中所产生的跳变故障。
为了实现上述目的,本发明提供一种半导体集成电路,具有工作频率不同的多个逻辑电路块,以能够执行跳变扫描测试的方式构成,其中,具备:
时钟供给单元,从时钟供给源供给分别与所述多个逻辑电路块的工作频率相当的频率的多个时钟信号;
压缩扫描电路,该压缩扫描电路具有:多个扫描链,由从所述时钟供给单元接受与所述多个逻辑电路块的工作频率对应的时钟信号的供给而进行工作的多个触发器构成,在该多个触发器中以将前级的触发器的数据输出端子和下一级的触发器的扫描数据输入端子彼此连接并且能够进行扫描移位工作和获取工作的切换的方式构成;图案展开电路,连接在该多个扫描链的扫描输入侧;图案压缩电路,连接在该多个扫描链的扫描输出侧,在所述压缩扫描电路中,构成该多个扫描链的触发器的数据输出端子与所述多个逻辑电路块的信号输入端子连接,将该多个逻辑电路块的信号输出端子连接到构成所述扫描链的其它触发器的数据输入端子;以及
时钟控制单元,在所述压缩扫描电路的所述跳变扫描测试的获取工作时,停止向构成所述扫描链的多个触发器中的特定的触发器供给所述时钟信号。
根据本发明,能够起到以短时间并且精度良好地检测在逻辑电路等中所产生的跳变故障的效果。
附图说明
图1是示出本发明的实施方式的半导体集成电路整体的结构的框图。
图2是示出各扫描触发器的结构的图。
图3是示出实施方式的半导体集成电路中的跳变扫描时的工作的时序图。
图4是示出扫描电路的概要的图。
图5是示出压缩扫描电路的一个例子的图。
图6是示出针对压缩扫描的压缩旁路模式的电路例子的图。
图7是示出以往的扫描测试时的时钟系统的图。
具体实施方式
参照附图详细地对本发明的优选实施方式进行说明。图1是示出本发明的实施方式的半导体集成电路整体的结构的框图。如图1所示,本发明的实施方式的半导体集成电路1具备:压缩扫描电路10,用于进行扫描测试;跳变扫描用时钟控制电路7,在压缩扫描电路10中实施跳变扫描测试时进行预定的时钟控制;分频电路9,对半导体集成电路1的基本工作时钟(CLK)进行分频。
压缩扫描电路10构成为具备:扫描链,分别连结(串联连接)多级(此处是6级)扫描触发器(适当地,也记述为扫描FF)FF1~FF36而构成;成为测试对象的组合电路15、17,针对来自扫描FF的输入信号,输出预定的信号;图案展开电路3,将多个扫描输入端子12经由多路选择器连接到扫描FF;图案压缩电路5,将来自扫描链的输出经由异或(EX-OR)门连接到多个扫描输出端子14。并且,由于图案展开电路3以及图案压缩电路5的结构与图5所示的图案展开电路201以及图案压缩电路203相同,所以,此处省略它们的图示。此外,在图1的半导体集成电路1中,为了简化,省略位于扫描FF21~FF26和扫描FF31~FF36之间的一系列的扫描FF以及组合电路而示出。
半导体集成电路1例如以同步电路方式进行设计,在共有从未图示的时钟生成部供给的时钟信号CLK的扫描触发器FF1~FF36之间插入组合电路15、17,这些扫描FF以及组合电路与时钟信号CLK同步地工作。在图1所示的半导体集成电路1中,扫描FF1~FF36中的扫描FF1~FF4、FF11~FF14、FF21~FF24、FF31~FF36是属于以高速时钟(例如,10MHz)进行工作的高速时钟组的扫描触发器。此外,扫描FF5、FF15、FF16、FF25、FF26是属于以低速时钟(例如,5MHz)进行工作的低速时钟组的扫描触发器。组合电路15、17是例如由“与”门、“或”门、反相器等的多个逻辑元件构成的逻辑电路块。
如图2所示,各扫描FF1~FF36是具备时钟输入端子(CLK)和数据输入端子D的D触发器(D-FF),在数据输入端子D附加有作为选择器而发挥作用的多路选择器(MUX)23。在该MUX23中设置有用于在通常的工作时输入数据的数据输入端子D和用于从外部向触发器输入数据的扫描输入端子SD。此外,利用MUX23的选择端子(扫描使能端子)SS对通常的工作时的数据输入D和扫描输入SD进行切换。扫描FF1~FF36的扫描输出Q与在通常的工作时的数据输出是共同的,这些扫描FF1~FF36彼此串联连结。即,依次将位于前级的扫描FF的扫描输出端子Q和位于后级的扫描FF的扫描输入端子SD连接,利用扫描FF1~FF36构成移位寄存器(扫描链)。
跳变扫描用时钟控制电路7具备由锁存电路8a以及“或”门8b构成的时钟门控单元(CG)8和时钟控制触发器(FFC)6,在半导体集成电路1中执行跳变扫描时,进行后述的时钟控制。
接着,针对本发明的实施方式的半导体集成电路,说明跳变扫描时的电路工作。图3是示出本实施方式的半导体集成电路的跳变扫描时的工作的时序图。为了进行半导体集成电路1的扫描测试,最初经由扫描模式端子57向图1的选择器55输入扫描模式信号(此处是逻辑“1”)。并且,向扫描使能(SCANSE)端子53输入扫描使能信号(此处是逻辑“1”)。由此,全部的扫描FF1~FF36的选择端子(扫描使能端子)SS也成为逻辑“1”,半导体集成电路1被设定为扫描移位工作模式。
扫描使能端子(SCANSE)成为逻辑“1”,由此,输入到跳变扫描用时钟控制电路7的时钟信号CLK原样地通过锁存电路8a和选择器55,输入到属于上述的低速时钟组的扫描触发器。向属于高速时钟组的扫描触发器直接输入时钟信号CLK。因此,在扫描使能(SCANSE)端子为逻辑“1”时,向全部的扫描FF1~FF36供给时钟信号。
接着,向压缩扫描电路10的扫描输入端子12输入扫描测试信号,启动时钟CLK,使扫描FF1~FF36进行移位寄存器工作。即,在各扫描FF1~FF36中,扫描使能端子SS成为逻辑“1”,所以,代替通常的数据输入端子D,从扫描输入端子SD取入输入数据,根据时钟(CLK)信号,将输入数据(扫描测试信号)依次取入至FF1、FF2、FF3、FF4、FF5…。此时,从扫描输入端子12输入扫描测试信号(参照图3的信号FFC/D),使得低速时钟组的时钟控制用触发器(FFC)6的值为逻辑“0”。
并且,扫描FF1~FF36在扫描使能端子SS成为逻辑“0”的期间,以时钟信号的定时取入向数据输入端子D供给的信号并从信号输出端子Q输出。
接着,如图3所示,使扫描使能(SCANSE)端子为逻辑“0”,将半导体集成电路1设定为获取工作模式,启动时钟信号CLK。此时,对于低速时钟组,如上述那样,从扫描输入端子12向时钟控制用触发器(FFC)6以其值为逻辑“0”的方式输入扫描测试信号。因此,输入了时钟控制用触发器(FFC)6的输出Q(逻辑“0”)和扫描使能(SCANSE)端子的逻辑“0”的时钟门控单元(CG)8的EB/SE信号都为逻辑“0”。如图3的CG/SE信号以及CG/EB信号所示那样,跳变扫描用时钟控制电路7在获取工作时,停止来自跳变扫描用时钟控制电路7的时钟门控单元(CG)8的时钟输出。
这样,将时钟门控单元(CG)8的“或”门8b的输出(逻辑“0”)输入到锁存电路8a,如在图3的CG/GC信号中以虚线A所示的那样,从时钟门控单元(CG)8输出的时钟信号CLK停止。此时,仅从高速时钟组的起点触发器对成为测试对象的组合电路15、17的测试对象路线(测试对象路径)产生信号跳变。更详细地说,如图3的时钟信号(CLK、CG/CLK)所示那样,向压缩扫描电路10的测试对象供给两个脉冲的量的具有预定的测试周期的间隔(也称为测试标准)的时钟信号(获取时钟信号)。其结果是,利用最初的获取时钟脉冲,在测试对象路径的起点触发器产生信号跳变,利用第二个获取时钟脉冲,将与扫描测试数据对应的测试对象路线中的工作结果取入至终点触发器。
即,在将半导体集成电路1设定为获取工作模式的状态下,进一步启动时钟。此时,时钟控制用触发器(FFC)6的输出端子Q与通常输入端子D连接,所以,将时钟控制用触发器(FFC)6的输出Q(逻辑“0”)原样地取入至输入端子D。其结果是,FFC6的值不发生变化。因此,能够在终点触发器中仅取入在高速时钟组的测试对象路径中所产生的跳变后的信号。
接着,使扫描使能(SCANSE)端子为逻辑“1”,将半导体集成电路1设定为扫描移位工作模式。并且,如上述那样,启动时钟信号CLK,将取入至终点触发器的信号传送至扫描输出端子14,期待该信号。即,使扫描使能(SCANSE)端子为逻辑“1”,由此,再次利用扫描触发器FF1~FF36构成扫描路径,经由扫描输出端子14依次回收针对所设定的扫描测试信号的各测试对象的处理结果(测试输出数据),期待所得到的信号。
即,在未图示的测试器(判定跳变故障的测试器)中,将从扫描触发器FF1~FF36扫描输出的输出结果与期待值进行比较,判定在半导体集成电路1中有无跳变故障。在所得到的信号的延迟时间比上述的测试标准(测试周期)长并且与期待值不一致的情况下,判断为在半导体集成电路1的测试对象的信号路径中产生了延迟故障。
另一方面,对于低速时钟组的扫描FF来说,在获取工作时,时钟停止,所以,原样地输出在获取工作模式前的扫描移位工作中所输入的值。因此,在半导体集成电路1的压缩扫描电路10中,不需要对测试对象外的组的扫描FF的信号进行屏蔽。例如,在图1的半导体集成电路1中,将构成组合电路17的“或”门17b设计为以低速时钟进行工作,在如以往那样在获取工作时不停止时钟供给的情况下,从位于前级的扫描FF15取入逻辑“1”。因此,需要屏蔽位于“或”门17b的后级的扫描FF25,但是,在本实施方式的半导体集成电路1中,如上述那样,在获取工作时停止时钟供给,由此,在低速时钟组的扫描FF中,在获取工作时不存在时钟启动,所以,在扫描移位工作时所设定的值(“0”或“1”)被固定,在低速时钟组的测试对象路径中不产生信号跳变。其结果是,由于位于“或”门17b的后级的扫描FF25不取入跳变后的信号,所以,对于扫描FF25,不需要进行信号的屏蔽。
并且,在上述的实施方式中示出了设置有一个在压缩扫描电路10的跳变扫描时进行时钟控制的跳变扫描用时钟控制电路7的结构,但是,不限定于此。例如,在半导体集成电路1中存在三个以上以不同的时钟进行工作的时钟组的情况下,根据其组数设置多个与图1所示的跳变扫描用时钟控制电路7相同的跳变扫描用的时钟控制电路也可以。
此外,在针对用低速时钟的成为测试对象的组合电路进行跳变扫描测试的情况下,从扫描输入端子12向时钟控制用触发器(FFC)6以其值为逻辑“1”的方式输入扫描测试信号。在该状态下,从时钟端子51输入相当于低速时钟的时钟信号CLK,由此,低速时钟原样地通过锁存电路8a和选择器55,被输入到属于上述的低速时钟组的扫描触发器。
如以上说明的那样,根据本实施方式,在高速时钟工作块(高速时钟组)以及低速时钟工作块(低速时钟组)这样的工作频率不同的块存在的半导体集成电路中,以如下方式构成:在这些块间配置扫描链,在该半导体集成电路的跳变扫描测试的获取工作时,利用时钟控制用电路,停止向低速时钟组的扫描FF供给的时钟。这样,在高速时钟组的跳变扫描测试时不需要在低速时钟组的扫描触发器FF中对信号进行屏蔽,所以,具有能够提高在压缩扫描模式下的故障的检测率的效果。此外,在压缩旁路模式下进行扫描测试时,压缩旁路的图案数减少,所以,具有能够缩短跳变扫描测试的时间的效果。
并且,通过采用如下结构,从而能够在跳变扫描测试的获取工作时从半导体集成电路的外部控制向低速时钟组的扫描FF供给的时钟:从单一的时钟信号源向工作频率不同的块供给时钟,并且,对时钟控制用电路的时钟控制FF利用扫描链设定预定的值。其结果是,具有如下效果:能够在短时间内以高速/低速各自的工作频率实施跳变扫描测试,也不需要为了实施该跳变扫描测试而增加半导体集成电路的信号端子数、焊盘数。
附图标记的说明:
1 半导体集成电路
3 图案展开电路
5 图案压缩电路
6 时钟控制触发器(FFC)
7 跳变扫描用时钟控制电路
8a 锁存电路
8b 门
9 分频电路
10 压缩扫描电路
12 扫描输入端子
14 扫描输出端子
15、17 测试对象组合电路
FF1~FF36 扫描触发器(扫描FF)。

Claims (4)

1.一种半导体集成电路,具有工作频率不同的多个逻辑电路块,以能够执行跳变扫描测试的方式构成,其中,具备:
时钟供给单元,从时钟供给源供给分别与所述多个逻辑电路块的工作频率相当的频率的多个时钟信号;
压缩扫描电路,该压缩扫描电路具有:多个扫描链,由从所述时钟供给单元接受与所述多个逻辑电路块的工作频率对应的时钟信号的供给而进行工作的多个触发器构成,在该多个触发器中以将前级的触发器的数据输出端子和下一级的触发器的扫描输入端子彼此连接并且能够进行扫描移位工作和获取工作的切换的方式构成;图案展开电路,连接在该多个扫描链的扫描输入侧;图案压缩电路,连接在该多个扫描链的扫描输出侧,在所述压缩扫描电路中,构成该多个扫描链的触发器的数据输出端子与所述多个逻辑电路块的信号输入端子连接,将该多个逻辑电路块的信号输出端子连接到构成所述扫描链的其它触发器的数据输入端子;以及
时钟控制单元,在所述压缩扫描电路的所述跳变扫描测试的获取工作时,停止向构成所述扫描链的多个触发器中的特定的触发器供给所述时钟信号,
所述时钟控制单元包含时钟控制用触发器而构成,并且,所述时钟控制用触发器是构成所述扫描链的所述多个触发器中的一个,基于对所述时钟控制用触发器所设定的预定的值,停止所述时钟信号。
2.根据权利要求1所述的半导体集成电路,其中,
所述时钟控制单元具备:时钟门控单元,当许可执行所述跳变扫描测试的扫描使能信号的值和对所述时钟控制用触发器所设定的所述预定的值的“或”取预定的值时,停止向所述特定的触发器供给时钟信号。
3.根据权利要求1或2所述的半导体集成电路,其中,
所述多个时钟信号中的向所述特定的触发器供给的时钟信号的频率与向该特定的触发器以外的触发器供给的时钟信号的频率相比为低速。
4.根据权利要求1所述的半导体集成电路,其中,
所述多个时钟信号中的一个是将所述时钟供给源作为输入的分频电路的输出。
CN201210206994.3A 2011-06-23 2012-06-21 半导体集成电路 Active CN102841308B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-139593 2011-06-23
JP2011139593A JP6054597B2 (ja) 2011-06-23 2011-06-23 半導体集積回路

Publications (2)

Publication Number Publication Date
CN102841308A CN102841308A (zh) 2012-12-26
CN102841308B true CN102841308B (zh) 2017-03-01

Family

ID=47363017

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210206994.3A Active CN102841308B (zh) 2011-06-23 2012-06-21 半导体集成电路

Country Status (3)

Country Link
US (1) US8677201B2 (zh)
JP (1) JP6054597B2 (zh)
CN (1) CN102841308B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011149775A (ja) * 2010-01-20 2011-08-04 Renesas Electronics Corp 半導体集積回路及びコアテスト回路
JP2013025338A (ja) * 2011-07-15 2013-02-04 Renesas Electronics Corp 半導体装置の設計方法、設計装置、及び半導体装置
US9239360B2 (en) * 2014-01-28 2016-01-19 Texas Instruments Incorporated DFT approach to enable faster scan chain diagnosis
US9465072B2 (en) * 2015-03-13 2016-10-11 Nxp B.V. Method and system for digital circuit scan testing
US10078114B2 (en) * 2015-09-24 2018-09-18 Renesas Electronics Corporation Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
JP6731733B2 (ja) * 2016-01-20 2020-07-29 株式会社メガチップス スキャンテスト回路、スキャンテスト方法およびスキャンテスト回路の設計方法
CN108535624B (zh) * 2017-03-06 2020-08-07 中芯国际集成电路制造(上海)有限公司 一种集成电路芯片及其保护方法、装置
JP2021148628A (ja) 2020-03-19 2021-09-27 株式会社東芝 半導体装置
CN111624478B (zh) * 2020-06-12 2022-12-23 山东云海国创云计算装备产业创新中心有限公司 一种时钟信号控制电路及设备
CN114280454B (zh) * 2021-12-27 2024-01-23 西安爱芯元智科技有限公司 芯片测试方法、装置、芯片测试机及存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6442722B1 (en) * 1999-10-29 2002-08-27 Logicvision, Inc. Method and apparatus for testing circuits with multiple clocks
CN1910465A (zh) * 2004-01-19 2007-02-07 皇家飞利浦电子股份有限公司 具有多个时钟域的电路的测试
EP1360513B1 (en) * 2001-02-15 2008-04-02 Syntest Technologies, Inc. Multiple-capture dft system for detecting or locating crossing clock-domain faults during self-test or scan test
US7945833B1 (en) * 2004-05-24 2011-05-17 Syntest Technologies, Inc. Method and apparatus for pipelined scan compression

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2658903B2 (ja) * 1994-10-05 1997-09-30 日本電気株式会社 スキャンパス回路、その設計装置及びその設計方法
US6966021B2 (en) * 1998-06-16 2005-11-15 Janusz Rajski Method and apparatus for at-speed testing of digital circuits
US7590905B2 (en) * 2004-05-24 2009-09-15 Syntest Technologies, Inc. Method and apparatus for pipelined scan compression
JP4808051B2 (ja) * 2006-03-14 2011-11-02 ルネサスエレクトロニクス株式会社 半導体集積回路装置とそのテスト方法
JP5035665B2 (ja) * 2007-03-19 2012-09-26 日本電気株式会社 半導体集積回路、半導体集積回路のテストパターン生成装置
JP2009036668A (ja) 2007-08-02 2009-02-19 Toshiba Corp 半導体集積回路およびテスト方法
JP2010091482A (ja) * 2008-10-09 2010-04-22 Toshiba Corp 半導体集積回路装置及びその遅延故障テスト方法
JP4941868B2 (ja) 2009-02-26 2012-05-30 パナソニック株式会社 半導体装置、並びに半導体装置の設計方法、設計装置、および故障検出方法
US8065572B2 (en) * 2009-06-30 2011-11-22 Oracle America, Inc. At-speed scan testing of memory arrays

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6442722B1 (en) * 1999-10-29 2002-08-27 Logicvision, Inc. Method and apparatus for testing circuits with multiple clocks
EP1360513B1 (en) * 2001-02-15 2008-04-02 Syntest Technologies, Inc. Multiple-capture dft system for detecting or locating crossing clock-domain faults during self-test or scan test
CN1910465A (zh) * 2004-01-19 2007-02-07 皇家飞利浦电子股份有限公司 具有多个时钟域的电路的测试
US7945833B1 (en) * 2004-05-24 2011-05-17 Syntest Technologies, Inc. Method and apparatus for pipelined scan compression

Also Published As

Publication number Publication date
JP2013007618A (ja) 2013-01-10
JP6054597B2 (ja) 2016-12-27
US8677201B2 (en) 2014-03-18
CN102841308A (zh) 2012-12-26
US20120331358A1 (en) 2012-12-27

Similar Documents

Publication Publication Date Title
CN102841308B (zh) 半导体集成电路
US10649029B2 (en) TCKC/TMSC counter, gating circuitry for selection, deselection, technology specific outputs
EP0195164B1 (en) Integrated circuits
JP3291350B2 (ja) ディジタルシステムをテストするための装置
US6018815A (en) Adaptable scan chains for debugging and manufacturing test purposes
US7734975B2 (en) Semiconductor integrated circuit having built-n self test circuit of logic circuit and embedded device, and design apparatus thereof
US20050204226A1 (en) Core circuit test architecture
Wohl et al. Fully X-tolerant combinational scan compression
US20010056559A1 (en) Accelerating scan test by re-using response data as stimulus data
CN111610435A (zh) 用于控制时钟门控单元的控制电路、芯片及控制方法
US5621740A (en) Output pad circuit for detecting short faults in integrated circuits
JP2003332443A (ja) 半導体集積回路とその設計支援装置およびテスト方法
US6877119B2 (en) Circuit scan output arrangement
CN111766505A (zh) 一种集成电路的扫描测试装置
JPH0772872B2 (ja) 順序ディジタル論理回路の組み込み自己検査用装置
CN110007217A (zh) 一种低功耗边界扫描测试方法
US20110175638A1 (en) Semiconductor integrated circuit and core test circuit
US20080209292A1 (en) Circuit for controlling voltage fluctuation in integrated circuit
US7222277B2 (en) Test output compaction using response shaper
US6806731B2 (en) Semiconductor integrated circuit device and fault-detecting method of a semiconductor integrated circuit device
Wohl et al. Increasing scan compression by using X-chains
CN105203946B (zh) 一种嵌入式芯核测试壳装置及其设计方法
US20020194563A1 (en) Accelerating scan test by re-using response data as stimulus data
US6704897B1 (en) Semiconductor device and the test system for the same
CN1323298C (zh) 一种应用于系统级芯片测试中的芯核并行包装电路和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: Yokohama City, Kanagawa Prefecture, Japan

Applicant after: Lapis Semiconductor Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Lapis Semiconductor Co., Ltd.

C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant