CN102760694A - 形成氧化物经封装传导形体的方法 - Google Patents

形成氧化物经封装传导形体的方法 Download PDF

Info

Publication number
CN102760694A
CN102760694A CN2012101248062A CN201210124806A CN102760694A CN 102760694 A CN102760694 A CN 102760694A CN 2012101248062 A CN2012101248062 A CN 2012101248062A CN 201210124806 A CN201210124806 A CN 201210124806A CN 102760694 A CN102760694 A CN 102760694A
Authority
CN
China
Prior art keywords
copper
layer
copper alloy
barrier layer
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2012101248062A
Other languages
English (en)
Inventor
刘晃
薛振胜
施继雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
GlobalFoundries Singapore Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Singapore Pte Ltd filed Critical GlobalFoundries Singapore Pte Ltd
Publication of CN102760694A publication Critical patent/CN102760694A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明涉及一种形成氧化物经封装传导形体的方法,提供一种有关以通过实质上均匀的MnO或Al2O3层予以封装的铜或铜合金互连所形成的半导体装置。实施例包含在介电层中形成具有侧表面及底表面的开口,在开口的侧表面和底表面上及介电层的上表面上形成阻障层,以氧气电浆处理阻障层以在阻障层上形成悬垂氧原子,在阻障层上沉积种晶层,以及以铜或铜合金填充开口。

Description

形成氧化物经封装传导形体的方法
技术领域
本发明是有关半导体装置中的铜(Cu)及/或铜合金金属化,以及有关一种制造具有可信赖,低电阻铜或铜合金互连的半导体装置的方法。本发明特别可应用于形成具有次微米设计形体及高导电率互连结构的高速集成电路,包含以金属-氧化物层,如MnOx或AlOx予以实质上均匀地封装的铜或铜合金形体。
背景技术
在半导体制造中,在金属互连后段工艺(BEOL)加工期间施加镶嵌(damascene)。现有镶嵌加工包含在介电中间层形成开口及以导电材料,例如,铜或铜合金填充开口,以形成接触面、通孔、或线。现有BEOL加工包含在晶圆上利用配线的个别装置(晶体管、电容、电阻等)的互连,以及芯片-对-封装连接的接触面、绝缘层(介电质)、金属层级、及接合位置的形成。
如图1A-1F所示,使用现有镶嵌工艺形成半导体装置中的金属互连。图1A显示形成在介电层103中的沟槽101。
由于铜经由介电中间层材料,如二氧化硅扩散,故铜或铜合金互连结构必须通过扩散阻障层予以封装。图1B显示沉积在沟槽101的侧表面107和底表面109上,以及介电层103的上表面111上的扩散阻障层105(例如,Ta/TaN)。
种晶层113沉积在阻障层105上,如图1C所示。种晶层113可为铜、或铜合金,如CuMn或CuAl。图1D显示铜、或铜合金115,通常利用电镀,填充沟槽101及种晶层113的上。
然后如通过化学机械加工(CMP)实施平坦化以自介电层103移除铜或铜合金115、种晶层113、和阻障层105,而形成实质上平坦的上表面,如图1E所示。
然后沉积覆盖层117,如氮化硅(SiN)或氮碳化硅(SiCxNy),如图1F所示。
在图1F中,当使用铜合金种晶层如CuMn或CuAl时,锰或铝在铜或铜合金115与覆盖层117间的界面析出。析出量视种晶层113中的锰或铝浓度及其它工艺条件而定。析出程度可导致种晶层113仅包含铜,而大部份的锰或铝因与氧(O2)反应而转化成金属氧化物层119,例如,MnO或Al2O3
除了该种界面外,析出亦发生在任何受损或缺陷位置(如具有不足够的阻障层的位置)。图2显示衬有阻障层203的现有铜或铜合金互连201。现有实务导致合金原子,如锰或铝原子205,向着O2扩散以在铜或铜合金互连201的上表面207上以及存在着O2的受损和缺陷位置形成氧化物层,例如,MnO或Al2O3
铜或铜合金互连201中的合金原子,如锰或铝原子205轻易地向着O2扩散以形成氧化物,例如,MnO或Al2O3,此乃由于其低活化能的缘故。另一方面,元素原子,如锰或铝存在时,铜不会与O2反应形成CuO。所得的氧化物层,例如,MnO或Al2O3,作为阻障层以防止:(a)铜沿着Cu/SiCNH界面207扩散而形成CuO;(b)O2扩散至铜互连201中形成CuO;以及(c)锰或铝继续扩散至介电层213中。因此,提升互连的电迁移(EM)性能。
受损和缺陷位置可为太薄的阻障层203的部份207、或节点201与介电层213间的缺陷界面211。阻障层203应完全地包围整个铜互连201以防止铜扩散至周围材料中并且穿过周围材料。阻障层203应该要足够厚以限制铜扩散,因此化学地隔离互连201与介电层213,而且还呈现足够高的导电性以维持与导线215的良好电子接触。然而,阻障层203在某些位置会太薄以致无法限制铜扩散。
现有实务仅导致氧化物层,例如,MnO或Al2O3,形成在存在着O2的互连201的上表面上或缺陷/破裂衬底区域207、211。然而,现有实务无法导致沿着沉积的导电材料,例如,铜或铜合金的侧壁形成实质上均匀的氧化物阻障层,此乃由于该些位置缺乏O2的故。
在先进节点如20nm及以下,阻障层(例如,Ta/TaN,Ru)的厚度进一步薄化以促进间隙填充及降低导线电阻。然而,该种薄化的阻障层没有强壮到足以禁得起EM、应力迁移(SM)、或时间相依介电崩溃(TDDB)加压,且其中可能含有受损和缺陷区域。因此,BEOL可靠度性能降低。
因此需要存在一种能够形成封装金属互连的实质上均匀的金属氧化物阻障层的方法论,因此阻挡铜扩散且提升可靠度性能没有负面地冲击间隙填充。
发明内容
本发明的一方面是一种在BEOL加工期间,形成通过实质上均匀的金属-氧化物层,如MnO或Al2O3予以封装的铜或铜合金互连。
本发明的另一方面是一种包含通过实质上均匀的金属-氧化物层,如MnO或Al2O3予以封装的铜或铜合金互连的半导体装置。
本发明的其它方面及其它特点将揭露于下述说明中,而且对本领域技术人员而言在检视下述说明时在某种程度上是显而易见的或者可自本发明的实务学习的。
依据本发明,某些技术功效在某种程度上可通过包含下述步骤的方法予以达成:在介电层中形成具有侧表面及底表面的开口,在开口的侧表面和底表面上及介电层的上表面上形成阻障层,以氧气电浆处理阻障层以在阻障层上形成悬垂氧原子,在阻障层上形成种晶层,以及以铜或铜合金填充开口。导致在该铜或铜合金的上及底表面上和沿着填充该开口的该铜或铜合金的侧表面形成金属氧化物层。
本发明的方面包含如通过CMP平坦化以自介电层的上表面移除阻障层,种晶层,及铜或铜合金,使得镶嵌的铜或铜合金的上表面与介电层的上表面实质上共平面。进一步方面包含在填充开口的铜或铜合金上提供覆盖层,如SiN或SiCxNy。其它方面包含沉积铜合金种晶层,如CuMn或CuAl,导致形成金属氧化物层,例如MnO或Al2O3。其它方面包含以
Figure BDA0000157142190000031
Figure BDA0000157142190000032
的厚度沉积阻障层。另一方面包含以
Figure BDA0000157142190000033
的厚度沉积种晶层。依据又一方面,以
Figure BDA0000157142190000035
Figure BDA0000157142190000036
的厚度形成金属氧化物层。
本发明的另一方面是一种装置,包含:半导体组件,半导体组件上的介电层,填充介电层中的开口的铜或铜合金,以及封装填充开口的铜或铜合金的金属氧化物层。
本发明的方面包含装置,此装置包含通过具有
Figure BDA0000157142190000041
Figure BDA0000157142190000042
的实质上均匀厚度的氧化物层,例如MnO或Al2O3层予以封装的镶嵌铜或铜合金。本发明的方面包含在具有
Figure BDA0000157142190000043
Figure BDA0000157142190000044
厚度的阻障层的沟槽中具有铜或铜合金镶嵌的装置。
本发明的另一方面是一种方法,包含:在半导体组件上提供介电层;在介电层中形成具有侧表面及底表面的沟槽;在沟槽的侧表面及底表面上沉积阻障层;以氧气电浆处理阻障层以形成键结在阻障层上的悬垂氧;在阻障层上沉积铜合金种晶层;以铜(Cu)或铜合金填充沟槽以形成铜或铜合金镶嵌及在介电层的上表面上的过覆盖;以及平坦化,使得铜或铜合金镶嵌的上表面与介电层的上表面实质上共平面,导致封装铜或铜合金镶嵌的金属氧化物层。
由下述的详细说明,对本领域技术人员而言,本发明的其它方面及技术功效是显而易见的,其中本发明的实施例是通过意欲实施本发明的最佳模式的说明予以简单地陈述。如可了解般,本发明能够以其它及不同实施例完成的,且其数种细节能够在各种显而易知方面予以修饰,皆无偏离本发明。因此,图式及说明事实上是欲作为说明之用,而非作为限制之用。
附图说明
在随附的图式中,本发明是通过实施例予以说明,而非予以限制,且图式中类似的参考数值是指类似的组件,其中:
图1A至1F图解地说明形成半导体装置中的金属互连的现有镶嵌工艺;
图2图解地说明衬有阻障层的现有互连;以及
图3A至3G图解地说明依据本发明实施例的形成半导体装置中的金属互连的工艺流程。
具体实施方式
在下述说明中,为了阐释的目的,提出许多特定的细节以提供彻底了解示例的实施例。然而,应可清楚了解,没有这些特定的细节或者利用均等的配置亦可实施这些示例的实施例。其它实例中,在方块图中显示众所皆知的结构及装置以避免非必要地模糊示例的实施例。此外,除非另有说明,否则应了解说明书及权利要求中所使用的成分、反应条件等的表示数量、比率、及数值性质的所有数值在所有实例中皆以″约″一词予以修饰。
本发明关注并解决BEOL可靠度性能的问题,尤其当阻障衬底的厚度减小而调和间隙填充及低线电阻时。本发明通过提供能够形成实质上均匀的金属-氧化物层,例如,MnO或Al2O3层、封装金属互连,例如,铜或铜合金接触面、通孔、或线,因此防止铜扩散至介电层中并且穿过介电层,故而提升可靠度性能没有负面地冲击间隙填充的方法论关注并解决该些问题。
依据本发明实施例的方法论是包含在介电层中形成具有侧表面及底表面的开口,在开口的侧表面和底表面上及介电层的上表面上形成阻障层,以氧气电浆处理阻障层以在阻障层上形成悬垂氧原子,在阻障层上沉积种晶层,以及以铜或铜合金填充开口。
由下述的详细说明,本领域技术人员可浅显易见地了解阻挡铜自铜或铜合金互连扩散没有负面地冲击间隙填充的又其它方面、特点、及技术功效,其中简单地通过意欲的最佳模式的说明,显示及陈述较佳实施例。本发明能够以其它及不同实施例完成的,且其数种细节能够在各种显而易知方面予以修饰。因此,图式及说明事实上是欲作为说明之用,而非作为限制之用。
图3A-3F说明依据本发明实施例的方法论。参照图3A,通过例如反应性离子蚀刻(RIE)在介电层303中形成开口,例如,沟槽301。
通过,例如,物理气相沉积(PVD)在沟槽301的侧表面307及底表面309上、及介电层303的上表面311上形成扩散阻障层305,如图3B所示。阻障层305可以
Figure BDA0000157142190000051
Figure BDA0000157142190000052
的厚度形成的。典型的扩散阻障金属包含钽(Ta),氮化钽(TaN),钌(Ru),钴(Co),或Ta/TaN。
参照图3C,以氧气电浆313,如30-180秒、于400-900W的RF电力、15-35m托的压力、100-400℃、及60-120sccm的臭氧(O3)流动速率处理所得的结构。利用氧气电浆的处理沿着沟槽301的侧表面307及底表面309在阻障层305中形成悬垂氧原子。该些悬垂氧原子随后反应以形成封装氧化物阻障。
参照图3D,通过,例如,物理气相沉积(PVD)在阻障层305上沉积种晶层315。种晶层315可形成至
Figure BDA0000157142190000062
的厚度,且可包含铜合金,如CuMn或CuAl。与铜种晶层相比下,CuAl种晶层以十倍增加EM寿命,而与铜种晶层相比下,CuMn种晶层以一百倍增加EM寿命。
如通过电化学电镀、无电电镀、或化学气相沉积来沉积导电材料,如铜或铜合金317,以填充沟槽301并在介电层302上形成过覆盖317,如图3E所示。然后如通过CMP实施平坦化以自介电层301的上表面移除过覆盖317,及阻障层305和种晶层315,形成实质上平坦的上表面,如图3F所示。
后续如通过化学气相沉积(CVD)沉积覆盖层319。覆盖层319可包含SiN或SiCxNy
种晶层315中的合金金属原子,例如,锰或铝析出至先前形成的悬垂氧原子以形成封装的氧化物层,例如,MnO或Al2O3。析出量视铜合金种晶层中的锰或铝浓度及各种工艺条件而定。在某些实施例中,析出足以导致实质上的铜种晶层,使得实质上所有的锰或铝皆析出以形成实质上均匀的封装MnO或Al2O3氧化物层321。一般而言,该种保护性氧化物层是在铜或铜合金沉积的前形成的。EDX/EELS分析确认悬垂氧键结可完全地氧化来自
Figure BDA0000157142190000064
厚度的种晶层的锰或铝原子以形成实质上均匀的MnO或Al2O3封装层。
本发明的实施例可达成数种技术功效,包含阻挡铜自铜或铜合金互连扩散,因此提升EM寿命没有负面地冲击间隙填充。本发明在各种高度集成的半导体装置的任一种上具有产业应用性。
在前述说明中,本发明参照其特定示例的实施例予以说明。然而,可证明可对其进行各种修饰及变更没有偏离本发明的较广精神及范围,如权利要求所述。因此,说明及图式欲视为是说明之用而非限制之用。可了解本发明能够使用各种其它组合及实施例且在本文所示的本发明概念的范围内能够有任何变更或修饰。

Claims (20)

1.一种方法,包括:
在介电层中形成具有侧表面及底表面的开口;
在该开口的该侧表面及该底表面上和该介电层的上表面上形成阻障层;
以氧气电浆处理该阻障层,以在该阻障层上形成悬垂氧原子;
在该阻障层上沉积种晶层;以及
以铜Cu或铜合金填充该开口,
导致在该铜或铜合金的上及底表面上和沿着填充该开口的该铜或铜合金的侧表面形成金属氧化物层。
2.根据权利要求1所述的方法,包括自该介电层的该上表面移除该阻障层、该种晶层、及该铜或铜合金。
3.根据权利要求2所述的方法,包括在填充该开口的该铜或铜合金上形成覆盖层。
4.根据权利要求3所述的方法,其中该覆盖层包括氮碳化硅SiCxNy
5.根据权利要求1所述的方法,包括沉积作为该种晶层的铜合金。
6.根据权利要求5所述的方法,包括沉积作为该种晶层的CuMn或CuAl合金,其中该金属氧化物层包括MnO或Al2O3
7.根据权利要求1所述的方法,包括以
Figure FDA0000157142180000011
Figure FDA0000157142180000012
的厚度沉积该阻障层。
8.根据权利要求1所述的方法,包括以
Figure FDA0000157142180000013
的厚度沉积该种晶层。
9.根据权利要求1所述的方法,包括以
Figure FDA0000157142180000021
Figure FDA0000157142180000022
的厚度形成该金属氧化物层。
10.一种装置,包括:
半导体组件;
在该半导体组件上的介电层;
填充该介电层中的开口的铜或铜合金;以及
封装填充该开口的该铜或铜合金的金属氧化物层。
11.根据权利要求10所述的装置,其中该金属氧化物层包括MnO或Al2O3
12.根据权利要求10所述的装置,进一步包括加衬该开口的阻障层。
13.根据权利要求10所述的装置,其中该金属氧化物层具有
Figure FDA0000157142180000023
Figure FDA0000157142180000024
的实质上均匀的厚度。
14.根据权利要求10所述的装置,其中该阻障层具有
Figure FDA0000157142180000025
Figure FDA0000157142180000026
的厚度。
15.根据权利要求10所述的装置,其中:
该开口为沟槽;以及
填充该沟槽的该铜或铜合金为导线。
16.一种方法,包括:
在半导体组件上提供介电层;
在该介电层中形成具有侧表面及底表面的沟槽;
在该沟槽的该侧表面及该底表面上沉积阻障层;
以氧气电浆处理该阻障层,以在该阻障层上形成悬垂氧原子;
在该阻障层上沉积铜合金种晶层;
以铜Cu或铜合金填充该沟槽,以形成铜或铜合金镶嵌及在该介电层的上表面上的过覆盖;以及
平坦化,使得该铜或铜合金镶嵌的上表面与该介电层的该上表面实质上共平面,
导致形成封装该铜或铜合金镶嵌的金属氧化物层。
17.根据权利要求16所述的方法,包括沉积作为该种晶层的CuMn合金或CuAl合金。
18.根据权利要求17所述的方法,其中该金属氧化物层包括MnO或Al2O3
19.根据权利要求16所述的方法,包括以
Figure FDA0000157142180000031
Figure FDA0000157142180000032
的厚度沉积该阻障层。
20.根据权利要求16所述的方法,其中是以
Figure FDA0000157142180000033
Figure FDA0000157142180000034
的厚度形成该金属氧化物层。
CN2012101248062A 2011-04-27 2012-04-25 形成氧化物经封装传导形体的方法 Pending CN102760694A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/095,140 2011-04-27
US13/095,140 US20120273949A1 (en) 2011-04-27 2011-04-27 Method of forming oxide encapsulated conductive features

Publications (1)

Publication Number Publication Date
CN102760694A true CN102760694A (zh) 2012-10-31

Family

ID=47007868

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2012101248062A Pending CN102760694A (zh) 2011-04-27 2012-04-25 形成氧化物经封装传导形体的方法

Country Status (5)

Country Link
US (1) US20120273949A1 (zh)
CN (1) CN102760694A (zh)
DE (1) DE102012206024A1 (zh)
SG (1) SG185182A1 (zh)
TW (1) TWI531026B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855131A (zh) * 2012-11-30 2014-06-11 财团法人工业技术研究院 自我生长的阻挡层结构及使用该结构的沟槽式半导体结构
CN105226050A (zh) * 2014-06-09 2016-01-06 旺宏电子股份有限公司 半导体结构及其制造方法
CN110875242A (zh) * 2018-08-30 2020-03-10 台湾积体电路制造股份有限公司 半导体装置及其形成方法
CN111009644A (zh) * 2019-11-13 2020-04-14 天津工业大学 纳米多孔铜表面修饰MnO/石墨烯复合电极的制备方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012204501A (ja) * 2011-03-24 2012-10-22 Sony Corp 半導体装置、電子デバイス、及び、半導体装置の製造方法
US20140061915A1 (en) * 2012-08-30 2014-03-06 International Business Machines Corporation Prevention of thru-substrate via pistoning using highly doped copper alloy seed layer
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
US9455182B2 (en) * 2014-08-22 2016-09-27 International Business Machines Corporation Interconnect structure with capping layer and barrier layer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200414318A (en) * 2002-10-29 2004-08-01 Asm Int Oxygen bridge structures and methods
CN1967845A (zh) * 2005-11-15 2007-05-23 东部电子股份有限公司 半导体器件及其制造方法
CN101068013A (zh) * 2006-05-02 2007-11-07 国际商业机器公司 半导体结构及其制造方法
TW200816379A (en) * 2006-08-17 2008-04-01 Sony Corp Method for manufacturing semiconductor device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6495449B1 (en) * 2000-03-07 2002-12-17 Simplus Systems Corporation Multilayered diffusion barrier structure for improving adhesion property
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP4236201B2 (ja) * 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2007180408A (ja) * 2005-12-28 2007-07-12 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7884475B2 (en) * 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
KR101088813B1 (ko) * 2008-07-25 2011-12-01 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
JP4415100B1 (ja) * 2008-12-19 2010-02-17 国立大学法人東北大学 銅配線、半導体装置および銅配線形成方法
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP5560696B2 (ja) * 2009-12-21 2014-07-30 富士通セミコンダクター株式会社 半導体装置の製造方法
US8299365B2 (en) * 2010-01-07 2012-10-30 International Business Machines Corporation Self-aligned composite M-MOx/dielectric cap for Cu interconnect structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200414318A (en) * 2002-10-29 2004-08-01 Asm Int Oxygen bridge structures and methods
CN1967845A (zh) * 2005-11-15 2007-05-23 东部电子股份有限公司 半导体器件及其制造方法
CN101068013A (zh) * 2006-05-02 2007-11-07 国际商业机器公司 半导体结构及其制造方法
TW200816379A (en) * 2006-08-17 2008-04-01 Sony Corp Method for manufacturing semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855131A (zh) * 2012-11-30 2014-06-11 财团法人工业技术研究院 自我生长的阻挡层结构及使用该结构的沟槽式半导体结构
CN105226050A (zh) * 2014-06-09 2016-01-06 旺宏电子股份有限公司 半导体结构及其制造方法
CN110875242A (zh) * 2018-08-30 2020-03-10 台湾积体电路制造股份有限公司 半导体装置及其形成方法
CN110875242B (zh) * 2018-08-30 2022-04-29 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US11682639B2 (en) 2018-08-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
CN111009644A (zh) * 2019-11-13 2020-04-14 天津工业大学 纳米多孔铜表面修饰MnO/石墨烯复合电极的制备方法
CN111009644B (zh) * 2019-11-13 2023-09-22 天津工业大学 纳米多孔铜表面修饰MnO/石墨烯复合电极的制备方法

Also Published As

Publication number Publication date
TW201244002A (en) 2012-11-01
TWI531026B (zh) 2016-04-21
SG185182A1 (en) 2012-11-29
DE102012206024A1 (de) 2012-10-31
US20120273949A1 (en) 2012-11-01

Similar Documents

Publication Publication Date Title
CN102760694A (zh) 形成氧化物经封装传导形体的方法
US20220336271A1 (en) Doped selective metal caps to improve copper electromigration with ruthenium liner
CN104934409B (zh) 后道工序互连层上的通孔预填充
TWI529852B (zh) 製造具有釕襯裏銅的積體電路的方法
US9190323B2 (en) Semiconductor devices with copper interconnects and methods for fabricating same
US8134234B2 (en) Application of Mn for damage restoration after etchback
US9543198B2 (en) Structure and method for forming interconnect structure
US7825516B2 (en) Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US9966304B2 (en) Method for forming interconnect structure
US10541199B2 (en) BEOL integration with advanced interconnects
CN110459502A (zh) 在半导体器件中形成跳跃通孔结构的方法和半导体器件
TW201419445A (zh) 元件與其形成方法
US20210091010A1 (en) Beol alternative metal interconnects: integration and process
US8836126B2 (en) Semiconductor device having insulating layers containing oxygen and a barrier layer containing manganese
US10431494B2 (en) BEOL self-aligned interconnect structure
US20140264872A1 (en) Metal Capping Layer for Interconnect Applications
US10672649B2 (en) Advanced BEOL interconnect architecture
JP2011029255A (ja) 半導体装置及びその製造方法
US20190139821A1 (en) Advanced beol interconnect architecture
CN101640184A (zh) 半导体器件及其制造方法
CN104241197A (zh) 在具有高薄层电阻的工件上的电化学沉积
KR100924556B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
KR102662612B1 (ko) 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US20090136724A1 (en) Method of fabricating semiconductor device
CN110299319A (zh) 半导体元件的内连线制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20121031