CN102737992B - 用于制造半导体器件的方法 - Google Patents

用于制造半导体器件的方法 Download PDF

Info

Publication number
CN102737992B
CN102737992B CN201110081942.3A CN201110081942A CN102737992B CN 102737992 B CN102737992 B CN 102737992B CN 201110081942 A CN201110081942 A CN 201110081942A CN 102737992 B CN102737992 B CN 102737992B
Authority
CN
China
Prior art keywords
semiconductor substrate
layer
amorphous
protective layer
drain region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110081942.3A
Other languages
English (en)
Other versions
CN102737992A (zh
Inventor
徐伟中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201110081942.3A priority Critical patent/CN102737992B/zh
Publication of CN102737992A publication Critical patent/CN102737992A/zh
Application granted granted Critical
Publication of CN102737992B publication Critical patent/CN102737992B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

本发明提供一种用于制造半导体器件的方法,所述方法包括:提供半导体衬底,在所述半导体衬底上形成有栅极结构,并且在所述半导体衬底中形成有源/漏区;在所述半导体衬底的表面和所述栅极结构的表面上形成预非晶化注入保护层;对所述半导体衬底进行预非晶化注入,以在所述源/漏区中靠近所述半导体衬底的表面的区域中形成非晶层;去除所述预非晶化注入保护层;在所述半导体衬底上形成金属层并且执行退火处理,以形成至少覆盖所述源/漏区的金属硅化物。根据本发明的方法能够有效抑制现有技术中在采用PAI注入之后硅化物的横向生长,控制横向生长和纵向生长的比例,并且防止现有技术中硅化物工艺前PAI注入所引起的隧穿效应。

Description

用于制造半导体器件的方法
技术领域
本发明涉及半导体制造工艺,且具体而言,涉及一种用于制造半导体器件的方法。
背景技术
现有的MOS晶体管工艺中,为了改善晶体管的栅极、源极和漏极与填充插塞(plug)之间的欧姆接触,通常会在栅极、源极和漏极的表面形成金属硅化物。目前,大多是利用自对准金属硅化物(Self-Aligned Silicide)工艺来形成金属硅化物的。具体来说,在形成源极和漏极之后,在源极、漏极和栅极上方形成由钴、钛或镍等构成的金属层,然后通过一步或多步快速退火处理(RTA),使金属层与栅极、源极和漏极中的硅反应,形成低电阻率的金属硅化物,从而减小源极和漏极的薄层电阻(Rs)。
当CMOS制造工艺进入65纳米及以下技术节点时,镍硅化物和铂硅化物由于其具有较小的薄层电阻、较少的硅消耗量以及较低的退火温度等特性,因而被广泛用作接触(contact)自对准硅化物。
另一方面,为了进一步减小自对准硅化物的薄层电阻,目前已开始在自对准硅化物工艺之前采用预非晶化注入(又称PAI注入)。具体来说,就是在自对准硅化物工艺前,对硅、碳、锗或锑等离子施以适当的能量和剂量,将其注入半导体衬底中,以破坏半导体衬底的晶格结构,从而形成非晶化区域(以下简称为非晶区)。
然而,在自对准硅化物工艺前采用PAI注入会带来下列几个问题:第一,由于PAI注入降低了反应活化能,所以经PAI注入过的半导体衬底与镍或铂的反应速度会加快,致使硅化物的横向生长过快,从而导致硅化物与沟道的距离缩短;第二,由于此时衬底表面没有掩蔽膜(screen film), 所以垂直PAI注入容易产生隧穿效应。这些问题都将会导致最终制得的半导体器件的整体电学性能变差。
因此,需要一种用于制造半导体器件的方法,期望该方法能够有效地解决上述问题,以便提高半导体器件制造的成品率。此外,还期望该方法能够与常规的CMOS制造工艺相兼容,以便简化工艺并降低制造成本。
发明内容
针对现有技术中的不足,本发明提供一种用于制造半导体器件的方法,所述方法包括:提供半导体衬底,在所述半导体衬底上形成有栅极结构,并且在所述半导体衬底中形成有源/漏区;在所述半导体衬底的表面和所述栅极结构的表面上形成预非晶化注入保护层;对所述半导体衬底进行预非晶化注入,以在所述源/漏区中靠近所述半导体衬底的表面的区域中形成非晶层;去除所述预非晶化注入保护层;在所述半导体衬底上形成金属层并且执行退火处理,以形成至少覆盖所述源/漏区的金属硅化物。
优选地,所述预非晶化注入保护层的厚度为5nm至150nm。
优选地,所述预非晶化注入保护层包括至少一层氧化物层和/或至少一层氮化物层。
优选地,所述预非晶化注入保护层为由依次形成的氧化硅层和氮化硅层构成的ON结构或者由依次形成的氧化硅层、氮化硅层和氧化硅层构成的ONO结构。
优选地,所述方法进一步包括:在去除预非晶化注入保护层之后且在所述半导体衬底上形成所述金属层之前,对所述半导体衬底的表面执行湿法预清洗。
优选地,所述方法进一步包括:在执行所述预非晶化注入之后且在执行所述湿法预清洗之前,对所述半导体衬底执行氧离子处理。
优选地,所述氧离子处理的处理时间为5秒至300秒。
优选地,在执行所述氧离子处理过程中,半导体衬底的温度为0摄氏度至600摄氏度。
优选地,所述金属层为镍、铂、钴、钛或其合金。
优选地,所述退火处理包括:对所述半导体衬底进行第一退火处理;去除未与所述源/漏区中所含的硅反应的金属层;对所述半导体衬底进行第二退火处理。
优选地,在所述半导体衬底上形成有位于所述栅极结构两侧且紧靠所述栅极结构的间隙壁结构。
优选地,所述栅极结构包括依次层叠的栅极介电层、栅极材料层和栅极硬掩蔽层。
根据本发明的用于制造半导体器件的方法具有下列几个优点:首先,能够有效抑制现有技术中在采用PAI注入之后硅化物的横向生长,控制横向生长和纵向生长的比例;其次,能够防止现有技术中硅化物工艺前PAI注入所引起的隧穿效应。根据本发明的方法,进一步地,通过在进行镍或铂沉积前湿法预清洗之前进行氧离子处理,能够防止湿法预清洗过程中浅槽隔离(STI)氧化物的损失。此外,根据本发明的方法还可以与常规的CMOS制造工艺相兼容,从而能够简化工艺并降低制造成本。
附图说明
本发明的下列附图在此作为本发明的一部分用于理解本发明。附图中示出了本发明的实施例及其描述,用来解释本发明的原理。
附图中:
图1A-1H是示出采用根据本发明示例性实施例的方法制作自对准金属硅化物过程中各步骤的示意性剖面图;以及
图2是示出采用根据本发明示例性实施例的方法的流程图。
具体实施方式
现在,将参照附图更详细地描述根据本发明的示例性实施例。然而,这些示例性实施例可以多种不同的形式来实施,并且不应当被解释为只限于这里所阐述的实施例。应当理解的是,提供这些实施例是为了使得本发明的公开彻底且完整,并且将这些示例性实施例的构思充分传达给本领域普通技术人员。在附图中,为了清楚起见,夸大了层和区域的厚度,并且使用相同的附图标记表示相同的元件,因而将省略对它们的描述。
应予以注意的是,这里所使用的术语仅是为了描述具体实施例,而非意图限制根据本发明的示例性实施例。如在这里所使用的,除非上下文另外明确指出,否则单数形式也意图包括复数形式。此外,还应当理解的是,当在本说明书中使用术语“包含”和/或“包括”时,其指明存在所述特征、整体、步骤、操作、元件和/或组件,但不排除存在或附加一个或多个其他特征、整体、步骤、操作、元件、组件和/或它们的组合。
[示例性实施例]
下面,仅以PMOS晶体管为例,将参照图1A-1H和图2来描述根据本发明示例性实施例的方法制作自对准金属硅化物的详细步骤。为了清楚描述并且从本发明的目的出发,仅着重描述源/漏区金属硅化物的形成,而省略对栅极结构上金属硅化物形成的描述。
请参照图1A-1H,其中示出了根据本发明示例性实施例的方法制作自对准金属硅化物过程中各步骤的示意性剖面图。
首先,如图1A所示,提供半导体衬底100,在所述半导体衬底100上形成有栅极结构,并且在半导体衬底100中形成有源/漏区104、106。此外,在半导体衬底100中还形成有隔离槽102,并且其中填充有绝缘材料,以形成用于定义有源区的浅槽隔离(STI)。通常情况下,所填充的绝缘材料为氧化物(以下被称为STI氧化物),例如,氧化硅。
作为示例,半导体衬底100的构成材料可以采用未掺杂的单晶硅、掺杂有杂质的单晶硅、绝缘体上硅(SOI)或锗硅(SiGe)等。作为示例,在本实施例中,半导体衬底100选用单晶硅材料构成。
作为一个示例,所述栅极结构可包括依次层叠的栅极介电层108、栅极材料层110和栅极硬掩蔽层109,如图1A所示。栅极介电层108可包括氧化物,如,二氧化硅(SiO2)层。栅极材料层110可包括多晶硅层、金属层、导电性金属氮化物层、导电性金属氧化物层和金属硅化物层中的一种或多种。其中,金属层的构成材料可以是钨(W)、镍(Ni)或钛(Ti);导电性金属氮化物层可包括氮化钛(TiN)层;导电性金属氧化物层可包括氮化铱(IrO2)层;金属硅化物层可包括硅化钛(TiSi)层。栅极硬掩蔽层109可包括氧化物层、氮化物层、氮氧化物层和无定形碳中的一种或多种。其中,氧化物层可包括硼磷硅玻璃(BPSG)、磷硅玻璃(PSG)、正硅酸乙酯(TEOS)、未掺杂硅玻璃(USG)、旋涂玻璃(SOG)、高密度等离子体(HDP)或旋涂电介质(SOD)。氮化物层可包括氮化硅(Si3N4)层。氮氧化物层可包括氮氧化硅(SiON)层。
这里,需予以说明的是,栅极硬掩蔽层109是可选而非必需的,主要用于在通过离子注入形成源/漏区时保护栅极结构的顶部不受损伤,并且将在形成金属硅化物接触之前被去除(稍后将进一步说明)。
作为另一示例,栅极结构110可以是半导体-氧化物-氮化物-氧化物-半导体(SONOS)层叠栅结构。
作为示例,在本实施例中,源/漏区104、106具有轻掺杂漏(LDD)结构。
此外,作为示例,在半导体衬底100上还可以形成有位于所述栅极结构两侧且紧靠所述栅极结构的间隙壁结构112。其中,间隙壁结构112可以包括至少一层氧化物层和/或至少一层氮化物层。需要说明的是,间隙壁结构112是可选的而非必需的,主要是用于形成具有LDD结构的源/漏区。也即是说,如果半导体器件并未被设计成其源/漏区具有LDD结构,则无需形成间隙壁结构112。其次,间隙壁结构112还可以在通过离子注入形成源/漏区时保护所述栅极结构的侧壁不受损伤。另外,重要的一点是,在本实施例中,间隙壁结构112还可以用于控制硅化物与沟道的距离,以进一步防止金属硅化物和沟道连通。
这里,应予以注意的是,本文所述以及附图所绘的前端器件结构并非是限制性的,而是还可以具有其他结构。例如,在半导体衬底100中还可以形成埋层(图中未示出)等。此外,对于PMOS晶体管而言,半导体衬底100中还可以形成有N阱(图中未示出),并且在形成栅极结构110之前,可以对整个N阱进行一次小剂量硼注入,用于调整PMOS晶体管的阈值电压Vth
接着,如图1B所示,在半导体衬底100的表面和所述栅极结构的表面上形成预非晶化注入保护层114。其中,预非晶化注入保护层114的厚度大约为5nm至150nm。优选地,预非晶化注入保护层114的厚度为5~100nm。考虑到保护效果以及制造成本,更优选地,预非晶化注入保护层114的厚度为10nm、15nm、20nm、25nm、30nm、35nm、40nm、45nm、50nm、55nm、60nm、65nm、70nm、75nm、80nm、85nm、90nm、95nm或100nm。
这里,需要说明的是,在存在间隙壁结构112的情况下,预非晶化注入保护层114同时也覆盖在间隙壁结构112的表面上。
作为示例,预非晶化注入保护层114包括至少一层氧化物层和/或至少一层氮化物层,例如,预非晶化注入保护层114可以是由依次形成的氧化硅层和氮化硅层构成的ON(氧化物-氮化物)结构,也可以是由依次形成的氧化硅层、氮化硅层和氧化硅层构成的ONO(氧化物-氮化物-氧化物)结构。其中,氮化硅可以使用二氯乙硅烷、BTBAS(双(第三-丁基胺)硅烷)和六氯乙硅烷中的任意一种和氨气作为源气体来形成。氧化硅可以使用TEOS(正硅酸乙酯)、SiH4/N2O或BTBAS作为源气体来形成。
作为示例,在本实施例中,选用氮化硅作为构成预非晶化注入保护层114的材料,并且在压强为0.1乇至0.5乇且温度为630℃至800℃的条件下、使用二氯乙硅烷和氨气作为源气体、通过化学气相沉积来形成该层氮化硅。这里,需予以说明的是,形成预非晶化注入保护层114的工艺条件和参数可以与形成间隙壁结构112的相同,因而无需开发新的单项工艺菜单,从而能够降低制造成本。
接着,如图1C所示,对半导体衬底100进行预非晶化注入,以在源/漏区104、106中靠近半导体衬底100表面的区域中形成非晶层。其中,预非晶化注入所使用的注入离子为硅、氮、氟、氙、氖、氩、氪、碳、锗和锑离子中的一种或多种。
在上述条件下采用离子注入工艺可以在半导体衬底100,尤其是在源/漏区104、106的表面以下区域内形成非晶层(图1C中虚线所示)。这样形成的非晶层可以有效抑制控制随后形成的镍硅化物横向(沿沟道方向)生长,控制镍硅化物横向生长和纵向(沿与沟道方向垂直的方向)生长的比例,进而防止结漏电。为了达到上述目的,仅需在半导体衬底100表面以下很浅的区域内形成非晶层。离子的注入能量直接影响该离子进入衬底的深度,因此,优选地,碳或硅离子的注入能量为3 KeV至15KeV,锗或锑离子的注入能量为5 KeV 至15KeV。技术人员可以根据不同的工艺设计和线宽来选择注入能量。
此外,为了形成均匀的非晶层,并且不影响半导体器件的性能,碳或硅离子的注入剂量为0.5×1015 atom/cm2至1.0×1015 atom/cm2,锗或锑离子的注入剂量为0.5×1015 atom/cm2至1.0×1015 atom/cm2。由于预非晶化注入工艺在半导体制造领域中已成为一项较为成熟的工艺,所以对于与之相关的更为具体的技术细节将不再赘述。
接着,如图1D所示,去除预非晶化注入保护层114。去除预非晶化注入保护层114的工艺方法是为本领域技术人员所熟知的,可以依据预非晶化注入保护层114的具体构成来选择适当的方法。例如,氮化硅保护层可以使用磷酸来去除,而氧化硅保护层可以使用氢氟酸来去除。
接着,如图1E所示,在半导体衬底100上形成金属层116,以至少覆盖所述源/漏区104、106。虽然本发明的目的是为了解决源/漏区中镍硅化物或铂硅化物的横向生长等问题,从这一点上来说,金属层的材料可以为镍或镍的合金。然而,本发明的方法还可以用于制作由钴、钛或其合金组成的金属硅化物。此外,不同的金属在形成硅化物中所要消耗的硅的量是不一样的,以钴和镍为例,钴对硅的消耗量较大,而镍消耗的硅比钴要小20%,因此,沉积的金属层116的厚度根据所述源极104和漏极106可供消耗的硅和将要形成的金属硅化物的电阻决定。形成金属层116的方法可以本领域内常用的方法,例如,物理气相沉积法或蒸镀法等。
这里,需予以说明的是,虽然如前所述本发明的目的是为了解决源/漏区中的金属硅化物向沟道中横向生长从而使沟道缩短这一问题,因而在本申请中主要是针对源/漏区来描述形成金属硅化物的方法,但对于本领域技术人员而言应当理解的是,在栅极结构110上也会同时形成用于后续金属互连的金属硅化物,如图1F-1H中所示。
此外,由于含钴或镍的金属硅化物对硅表面比较敏感,如果硅表面有污染物或氧化物,会影响形成的金属硅化物的性能,例如电阻率等。因而在沉积金属层之前,可以对半导体衬底进行湿法预清洗,以去除污染物或氧化物。根据本发明的一个方面,可以采用100∶1的H2O和HF的溶液对半导体衬底100表面进行清洗。
这里,需要特别说明一点:由于湿法预清洗会使STI氧化物部分被去除,从而导致STI氧化物损失,进而影响最终形成的半导体器件的电学性能,例如源/漏电极沿着STI漏电等;因此,根据本实施例,可以在去除预非晶化注入保护层114之后且在湿法预清洗之前,对半导体衬底进行氧离子处理,以补偿随后湿法预清洗中可能会损失的STI氧化物的厚度。当然,也可以在预非晶化注入之后且在去除预非晶化注入保护层114之前,对半导体衬底进行氧离子处理,并且同样能够达到补偿STI氧化物的厚度的目的。
作为示例,所述氧离子处理所使用的氧离子可由氧气(O2)、臭氧(O3)、一氧化氮(NO)或一氧化二氮(N2O)气体中的一种或其任意组合产生。可利用射频(RF)或直流(DC)的方式,或者电子回旋共振(ECR)离子源来产生氧离子。其中,所述氧离子处理的处理时间可以在5秒至300秒之间,进行氧离子处理时半导体衬底的温度可以在0度至600度之间。需要说明的是,本发明不对上述氧离子处理的氧离子产生方式及具体工艺参数加以限定,本领域技术人员可根据半导体衬底的尺寸、产生氧离子机台的实际情况、所使用的源气体等情况,根据实验获知相应的工艺参数。
这里,需予以说明的是,在湿法预清洗中,栅极结构中最上层的栅极硬掩蔽层109 会一同被去除,并且间隙壁结构112也会部分被去除。
接着,如图1F所示,对包含有金属层116的半导体衬底100进行第一退火处理。通过第一退火处理,金属层116中的金属材料(例如镍或钴)向半导体衬底100中源/漏区104、106上表面的硅或多晶硅材料中扩散,并与硅材料形成金属硅化物116a、116b。在该过程中,半导体衬底100表面的氧化硅或氮化硅与金属层116不发生反应,这使得后续的选择性蚀刻去除剩余的未发生反应的金属层成为可能。
根据本发明一个实施方式,金属层的材料为镍,生成的金属硅化物为硅化镍,则第一退火处理为均温退火。第一退火处理的温度为210oC至350oC,退火的持续时间为15秒至45秒。通过在210oC至350oC的均温退火,镍金属层和半导体衬底100的源/漏区104、106上表面的硅材料、以及栅极结构上表面的硅材料反应生成Ni2Si。所述Ni2Si的电阻率较高,不适合直接作为接触层,因而有必要通过其它方法降低其电阻率,以降低填充插塞和源/漏区表面的接触电阻,提高器件性能并降低功耗。
如图1G所示,去除未与源/漏区104、106中的硅材料发生退火反应的金属层。作为示例,通过选择性湿法蚀刻将半导体衬底100表面没有和硅反应生成金属硅化物116a、116b的金属去除。所述湿法蚀刻的腐蚀液采用硫酸和双氧水(SPM)的混合溶液,或者氢氧化氨和双氧水(SC1)的水溶液和磷酸、硝酸和甲酸(MII)的混合溶液。蚀刻后在源/漏区104、106的表面上形成低电阻率的金属硅化物116a、116b。
如图1H所示,对经过第一退火处理的半导体衬底100进行第二退火处理。第二退火处理采用毫秒退火(Millisecond Anneal,MSA)。第二退火处理的退火温度为750 oC至850oC,退火的持续时间为25微秒至50微秒。通过第二退火处理,可将第一退火处理生成的高电阻率的金属硅化物116a、116b,转化为低电阻率的金属硅化物116a’、116b’。本实施例中所述金属硅化物为硅化镍,第二退火处理为750oC至850oC的温度下的毫秒退火。通过第二退火处理,可将第一退火处理后生成的高电阻率的Ni2Si转化为低电阻率的NiSi。
此外,第二退火处理为毫秒退火,并且其退火温度高于第一退火处理的退火温度,这样可以进一步活化源极和漏极区域的掺杂剂,修复晶格并降低掺杂剂扩散。
进一步地,无论第一退火处理还是第二退火处理都是在没有氧气的环境中进行,例如可以在保护气体环境中进行,本实施例中所述保护气体可以为惰性气体或氮气。
至此,完成了根据本发明示例性实施例的方法制作自对准金属硅化物的全部工艺步骤。
这里,需要补充说明的是,虽然以上对本发明示例性实施例的描述是针对自对准金属硅化物进行的,但是本领域技术人员应当理解,根据本发明制作金属硅化物的方法同样适用于非自对准的金属硅化物。
此外,还需予以说明的是,虽然以上已经对本示例性实施例中所采用的退火工艺进行了详细描述,但是本领域技术人员应当认识到,用于形成金属硅化物的退火工艺并不限于在此所描述的两步退火工艺,而是还可以采用其他可以用于形成互连用金属硅化物的工艺。
接下来,请参照图2,其中示出了根据本发明第一实施例的用于制作NMOS晶体管的S/D区的方法的流程图,用于简要示出整个方法的流程。
首先,在步骤S201中,提供半导体衬底,在所述半导体衬底上形成有栅极结构,并且在所述半导体衬底中形成有源/漏区。
接着,在步骤S202中,在所述半导体衬底的表面和所述栅极结构的表面上形成所述预非晶化注入保护层。
接着,在步骤S203中,对所述半导体衬底进行预非晶化注入,以在所述源/漏区中靠近所述半导体衬底的表面的区域中形成非晶层。
接着,在步骤S204中,去除所述预非晶化注入保护层。
接着,在步骤S205中,在所述半导体衬底上形成金属层并且执行退火处理,以形成至少覆盖所述源/漏区的金属硅化物。
[本发明的有益效果]
根据本发明的用于制造半导体器件的方法具有下列几个优点:首先,能够有效抑制现有技术中在采用PAI注入之后硅化物的横向生长,控制横向生长和纵向生长的比例;其次,能够防止现有技术中硅化物工艺前PAI注入所引起的隧穿效应。根据本发明的方法,进一步地,通过在进行镍或铂沉积前湿法预清洗之前进行氧离子处理,能够防止湿法预清洗过程中STI氧化物的损失。此外,根据本发明的方法还可以与常规的CMOS制造工艺相兼容,从而能够简化工艺并降低制造成本。
[本发明的工业实用性]
根据如上所述的实施例制造的半导体器件可应用于多种集成电路(IC)中。例如,根据本发明的IC可以是存储器电路,如随机存取存储器(RAM)、动态RAM(DRAM)、同步DRAM(SDRAM)、静态RAM(SRAM)、或只读存储器(ROM)等。根据本发明的IC还可以是逻辑器件,如可编程逻辑阵列(PLA)、专用集成电路(ASIC)、合并式DRAM逻辑集成电路(掩埋式DRAM)、射频电路或任意其他电路器件。例如,根据本发明的IC芯片可以用于用户电子产品中,如个人计算机、便携式计算机、游戏机、蜂窝式电话、个人数字助理、摄像机、数码相机、手机等各种电子产品中。
本发明已经通过上述实施例进行了说明,但应当理解的是,上述实施例只是用于举例和说明的目的,而非意在将本发明限制于所描述的实施例范围内。此外,本领域技术人员可以理解的是,本发明并不局限于上述实施例,根据本发明的教导还可以做出更多种变型和修改,这些变型和修改均落在本发明所要求保护的范围以内。本发明的保护范围由附属的权利要求书及其等效范围所界定。

Claims (12)

1.一种用于制造半导体器件的方法,所述方法包括:
提供半导体衬底,在所述半导体衬底上形成有栅极结构,并且在所述半导体衬底中形成有源/漏区;
在所述半导体衬底的表面和所述栅极结构的表面上形成预非晶化注入保护层;
对所述半导体衬底进行预非晶化注入,以在所述源/漏区中靠近所述半导体衬底的表面的区域中形成非晶层,其中在进行所述预非晶化注入的过程中所述预非晶化注入保护层覆盖所述栅极结构的表面以及所述源/漏区;
去除所述预非晶化注入保护层;
在所述半导体衬底上形成金属层并且执行退火处理,以形成至少覆盖所述源/漏区的金属硅化物。
2.根据权利要求1所述的方法,其中,所述预非晶化注入保护层的厚度为5nm至150nm。
3.根据权利要求1所述的方法,其中,所述预非晶化注入保护层包括至少一层氧化物层和/或至少一层氮化物层。
4.根据权利要求3所述的方法,其中,所述预非晶化注入保护层为由依次形成的氧化硅层和氮化硅层构成的ON结构或者由依次形成的氧化硅层、氮化硅层和氧化硅层构成的ONO结构。
5.根据权利要求1所述的方法,进一步包括:在去除预非晶化注入保护层之后且在所述半导体衬底上形成所述金属层之前,对所述半导体衬底的表面执行湿法预清洗。
6.根据权利要求5所述的方法,进一步包括:在执行所述预非晶化注入之后且在执行所述湿法预清洗之前,对所述半导体衬底执行氧离子处理。
7.根据权利要求6所述的方法,其中,所述氧离子处理的处理时间为5秒至300秒。
8.根据权利要求6所述的方法,其中,在执行所述氧离子处理过程中,半导体衬底的温度为0摄氏度至600摄氏度。
9.根据权利要求1所述的方法,其中,所述金属层为镍、铂、钴、钛或其合金。
10.根据权利要求1所述的方法,其中,所述退火处理包括:
对所述半导体衬底进行第一退火处理;
去除未与所述源/漏区中所含的硅反应的金属层;
对所述半导体衬底进行第二退火处理。
11.根据权利要求1所述的方法,其中,在所述半导体衬底上形成有位于所述栅极结构两侧且紧靠所述栅极结构的间隙壁结构。
12.根据权利要求1所述的方法,其中,所述栅极结构包括依次层叠的栅极介电层、栅极材料层和栅极硬掩蔽层。
CN201110081942.3A 2011-04-01 2011-04-01 用于制造半导体器件的方法 Active CN102737992B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201110081942.3A CN102737992B (zh) 2011-04-01 2011-04-01 用于制造半导体器件的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110081942.3A CN102737992B (zh) 2011-04-01 2011-04-01 用于制造半导体器件的方法

Publications (2)

Publication Number Publication Date
CN102737992A CN102737992A (zh) 2012-10-17
CN102737992B true CN102737992B (zh) 2015-09-09

Family

ID=46993255

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110081942.3A Active CN102737992B (zh) 2011-04-01 2011-04-01 用于制造半导体器件的方法

Country Status (1)

Country Link
CN (1) CN102737992B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104916545A (zh) * 2015-04-30 2015-09-16 上海华力微电子有限公司 一种半导体器件的制作方法
CN107104051B (zh) * 2016-02-22 2021-06-29 联华电子股份有限公司 半导体元件以及其制作方法
CN108231762A (zh) * 2016-12-22 2018-06-29 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN108257916B (zh) * 2016-12-28 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108630533B (zh) * 2017-03-17 2021-09-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN109427677B (zh) * 2017-08-24 2021-08-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109599360A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN110942984B (zh) * 2018-09-25 2022-04-01 长鑫存储技术有限公司 一种硅化钴膜的制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1921073A (zh) * 2005-08-26 2007-02-28 中芯国际集成电路制造(上海)有限公司 金属硅化物制作中的选择性离子注入预非晶化方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070166936A1 (en) * 2006-01-19 2007-07-19 Po-Chao Tsao Pre-amorphization implantation process and salicide process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1921073A (zh) * 2005-08-26 2007-02-28 中芯国际集成电路制造(上海)有限公司 金属硅化物制作中的选择性离子注入预非晶化方法

Also Published As

Publication number Publication date
CN102737992A (zh) 2012-10-17

Similar Documents

Publication Publication Date Title
CN102737992B (zh) 用于制造半导体器件的方法
KR100440263B1 (ko) 반도체 소자의 트랜지스터 및 그 제조 방법
KR101561862B1 (ko) 반도체 집적 회로 장치의 제조 방법
US7666748B2 (en) Method of forming amorphous source/drain extensions
KR100868649B1 (ko) 반도체 소자 및 그의 제조방법
CN104810368A (zh) Cmos晶体管及其形成方法
CN115295494B (zh) 一种半导体结构的制作方法
US8044470B2 (en) Semiconductor device and method of fabricating the same
US7358128B2 (en) Method for manufacturing a transistor
KR100574172B1 (ko) 반도체 소자의 제조방법
CN102569082B (zh) 用于制作嵌入式锗硅应变pmos器件结构的方法
US20130109173A1 (en) Methods for removing silicon nitride spacer, forming transistor and forming semiconductor devices
JP2009059761A (ja) 半導体装置および半導体装置の製造方法
JP2011176348A (ja) 半導体装置
CN102569081B (zh) 用于制作应变半导体器件结构的方法
US20080160710A1 (en) Method of fabricating mosfet device
CN102543701B (zh) 制作金属硅化物的方法
KR100449256B1 (ko) 디램 메모리 셀의 제조방법
KR100945648B1 (ko) 반도체 소자의 트랜지스터 및 그 제조 방법
US20060057853A1 (en) Thermal oxidation for improved silicide formation
CN103489778A (zh) 一种半导体器件的制造方法
JP2008047820A (ja) 半導体装置の製造方法および半導体装置
CN102376571B (zh) 制造半导体器件的方法
KR100393964B1 (ko) 에스램 소자의 게이트 형성 방법
CN104022030B (zh) 间隙壁去除方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant