CN102365721B - 形成具有降低的等效氧化物厚度的高k栅极叠层的方法 - Google Patents

形成具有降低的等效氧化物厚度的高k栅极叠层的方法 Download PDF

Info

Publication number
CN102365721B
CN102365721B CN201080013932.XA CN201080013932A CN102365721B CN 102365721 B CN102365721 B CN 102365721B CN 201080013932 A CN201080013932 A CN 201080013932A CN 102365721 B CN102365721 B CN 102365721B
Authority
CN
China
Prior art keywords
film
hafnium
oxide
silicon
boundary layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201080013932.XA
Other languages
English (en)
Other versions
CN102365721A (zh
Inventor
罗伯特·D·克拉克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102365721A publication Critical patent/CN102365721A/zh
Application granted granted Critical
Publication of CN102365721B publication Critical patent/CN102365721B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供了一种用于形成半导体器件用的具有降低的等效氧化物厚度(EOT)的高k栅极叠层的方法。该方法包括:提供含硅的衬底;在所述含硅衬底上形成界面层,其中该界面层具有第一等效氧化物厚度;将第一高k膜沉积在所述界面层上;并且在形成改性界面层的温度下对所述第一高k膜和所述界面层进行热处理,其中改性界面层具有等于或低于所述第一等效氧化物厚度的第二等效氧化物厚度。该方法还包括将第二高k膜沉积在所述改性界面层上。根据一种实施方式,第一高k膜包含氧化镧而第二高k膜包含硅酸铪。

Description

形成具有降低的等效氧化物厚度的高k栅极叠层的方法
技术领域
本发明涉及将高介电常数(高k)的膜集成到半导体制造的领域,更具体地涉及用于降低高k栅极电介质叠层中等效氧化物厚度(EOT)的方法。 
背景技术
微电子的最近研究进展包括在逻辑应用的栅极电介质叠层中使用高k膜。为了增大器件的可靠性并减少从栅电极到晶体管沟道的电子泄露,半导体晶体管技术引入高介电常数(高k)的材料,该材料容许栅极电介质层的物理厚度增大。特征为介电常数大于SiO2(k约为3.9)的电介质材料通常被称为高k材料。寻找可用于金属氧化物场效应晶体管(MOSFET)的高k膜的最初动机是:这是一种容易减少泄漏电流而不降低膜电容的方法。此外,对于许多应用来说,高k膜必须具有物理厚度(Tox)为约1nm的SiO2层的电当量。 
高k膜和下层衬底之间薄的电介质界面层的存在非常有助于保持界面态特性并形成具有良好电气性质的界面。由于界面层与晶体管沟道密切相连,界面层的质量会影响器件性能。但是,界面层的存在降低了栅极叠层的总介电常数,因此,界面层需要变薄。 
在高k膜的沉积和/或后沉积退火过程中,许多高k膜可以催化促进高k膜和Si衬底之间的厚SiO2界面层的生长,从而使Tox增大至不可接受的水平。用高k膜代替MOSFET中的SiO2栅极电介质层需要与约1nm的总SiO2厚度相对应的电容时,与期望的高k膜相连的低k反应层会快速抵消高k膜的益处。 
因此,需要进一步的研发来解决这些问题以及与将高k膜集成到半导体器件中相关的其他问题。 
发明概述
本发明提供了一种用于形成具有降低的等效氧化物厚度(EOT)的高k栅极叠层的方法。 
根据本发明的一个实施方式,提供了一种用于形成半导体器件用的栅极电介质叠层的方法。该方法包括:提供含硅的衬底;在所述含硅衬底上形成界面层,其中所述界面层具有第一等效氧化物厚度。该方法还包括:将第一高k膜沉积在所述界面层上;并且在形成具有第二等效氧化物厚度(等于或低于所述第一等效氧化物厚度)的改性界面层的温度下对所述第一高k膜和所述界面层进行热处理。该方法还进一步包括将第二高k膜沉积在所述改性界面层上。 
根据本发明的一个实施方式,提供了一种用于形成半导体器件用的栅极电介质叠层的方法。该方法包括:提供含硅的衬底;在所述含硅衬底上形成氧化硅界面层,其中所述氧化硅界面层具有第一等效氧化物厚度。该方法还包括:将稀土基第一高k膜沉积在所述氧化硅界面层上;并且在形成具有第二等效氧化物厚度(等于或低于所述第一等效氧化物厚度)的改性界面层的温度下对所述稀土基第一高k膜和所述界面层进行热处理。该方法还进一步包括将铪-氧基(hafnium-oxygen-based)高k膜沉积在所述改性界面层上,并可选地使至少部分厚度的铪-氧基高k膜氮化。 
根据本发明的另一个实施方式,所述方法包括:提供含硅的衬底;在所述含硅衬底上形成氧化硅界面层,其中所述氧化硅界面层具有第一等效氧化物厚度。该方法还包括:将氧化镧第一高k膜沉积在所述氧化硅界面层上;并且在形成具有第二等效氧化物厚度(等于或低于所述第一等效氧化物厚度)的改性界面层的温度下对所述氧化镧第一膜和所述氧化硅界面层进行热处理。该方法还进一步包括将第二高k膜沉积在所述改性界面层上,并可选地使至少部分厚度的第二高k膜氮化。 
附图说明
参照以下详细说明并结合附图进行考量时,本发明的更完整理解以及本发明所伴随的许多优点将变得清楚,在附图中: 
图1A一图1I示出了根据本发明的一个实施方式形成的具有降低的EOT的高k栅极叠层的示意性剖视图。 
图2A示出了根据本发明的实施方式作为包含HfSiON膜和不同厚度氧化镧高k膜的高k栅极叠层的EOT的函数的泄漏电流(Jg)。 
图2B示出了根据本发明的实施方式作为包含HfSiON膜和不同厚度氧化镧高k膜的高k栅极叠层的平带电压(Vfb)的函数的EOT。 
图3A示出了根据本发明的实施方式作为在HfSiON膜的沉积之前在不同温度下被热处理的包含HfSiON膜和氧化镧高k膜的高k栅极叠层的EOT的函数的Jg。 
图3B示出了根据本发明的实施方式作为在HfSiON膜的沉积之前在不同温度下被热处理的包含HfSiON膜和氧化镧高k膜的高k栅极叠层的Vfb的函数的EOT。 
图4是根据本发明的一个实施方式用于形成具有降低的EOT的高k栅极叠层的流程图。 
图5是根据本发明的实施方式用于形成具有降低的EOT的高k栅极叠层的真空处理设备的示意图。 
图6A和6B描绘了根据本发明的实施方式用于将高k材料沉积在衬底上的处理系统。 
发明详述
在多个实施方式中公开了用于形成半导体制造用的具有低EOT的高k栅极叠层的方法。EOT是经常用于对比高k基MOS器件的性能与SiO2基MOS器件的性能的数,其中EOT表示为了获得与较厚的高k膜相同的栅电容所需的SiO2栅极电介质膜的厚度。 
如上所述,在高k膜的沉积和/或后沉积退火过程中,许多高k膜可以催化促进高k膜和Si衬底之间的厚SiO2界面层的生长,从而使Tox增大至不可接受的水平并且快速抵消高k膜的益处。本发明人已实现:在沉积第二高k膜之前,对沉积在栅极叠层的界面层上的稀土基第一高k膜进行适当的热处理将出人意料地使高k栅极叠层的EOT缩小(EOT减小)。这 将产生与未经过适当热处理的相同栅极叠层相比物理上较厚但电学上较薄的高k叠层。该高k栅极叠层还导致了平带电压(Vfb)的降低,这对于负载流子场效应晶体管(NFET)来说是期望的。 
根据本发明的一个实施方式,提供了一种形成半导体器件用的栅极电介质叠层的方法。该方法包括:提供含硅的衬底;在所述含硅衬底上形成界面层,其中所述界面层具有第一等效氧化物厚度;将第一高k膜沉积在所述界面层上;并且在形成具有等于或低于第一等效氧化物厚度的第二等效氧化物厚度的改性界面层的温度下对所述第一高k膜和所述界面层进行热处理。该方法还包括将第二高k膜沉积在所述改性界面层上。根据本发明的一些实施方式,第一高k膜包含、第二高k膜包含或者第一和第二高k膜都包含:碱土金属元素、钛、铪或稀土元素或其中两种或更多种的任意组合。 
根据本发明的一个实施方式,该方法包括:提供含硅的衬底;在所述含硅衬底上形成界面层,其中所述界面层具有第一等效氧化物厚度;将稀土基第一高k膜沉积在所述界面层上;并且在形成具有等于或低于第一等效氧化物厚度的第二等效氧化物厚度的改性界面层的温度下对所述稀土基第一高k膜和所述界面层进行热处理。该方法还包括将第二高k膜沉积在所述改性界面层上,并可选地使至少部分厚度的第二高k膜氮化。 
本领域的技术人员将认识到,各种实施例可以在不具有具体细节中的一个或多个的情况下或者在具有其他替换物和/或额外方法、材料或元件的情况下进行实施。在其他情况下,公知的结构、材料或操作未示出或未详细描述,以避免模糊本发明的各实施例的主要方面。相似地,为了解释的目的,而描述了具体数字、材料和构造以提供对本发明的详尽理解。此外,应理解的是,如图所示的各种实施例是示例性的表示,而不一定按比例绘制。 
整个此说明书所涉及的“一种实施方式”或“一个实施方式”表示与该实施方式相关地进行描述的具体特征、结构、材料或特性被包括在本发明的至少一种实施方式中,但并不意味着它们存在于每个实施方式中。因此,在整个此说明书的各个位置出现的短语“在一种实施方式中”或“在 一个实施方式中”不一定是指本发明的同一实施方式。 
图1A-1I示出了根据本发明的一个实施方式形成具有降低的EOT的高k栅极叠层的示意性剖视图。图1A示意性示出了包含界面层104的含硅衬底102。衬底102可以是任意尺寸,例如是200mm的衬底,300mm的衬底或甚至更大的衬底。根据一种实施方式,衬底102可以含有Si,例如晶体硅、多晶硅或无定形硅。在一个实施例中,衬底102可以是张力应变的Si层。根据另一个实施方式,衬底102可以包含Ge或SixGe1-x化合物,其中x是Si的原子分数,1-x是Ge的原子分数,并且0<(1-x)<1。示例性的的SixGe1-x化合物包括Si0.1Ge0.9、Si0.2Ge0.8、Si0.3Ge0.7、Si0.4Ge0.6、Si0.5Ge0.5,Si0.6Ge0.4、Si0.7Ge0.3、Si0.8Ge0.2和Si0.9Ge0.1。在一个实施例中,衬底102可以是压缩应变的Ge层或沉积在松弛的Si0.5Ge0.5缓冲层上的张力应变的SixGe1-x(x>0.5)。 
界面层104可以包含氧化硅(例如SiOx,其中x等于或小于2)、氮化硅、氧氮化硅或其组合。在一个实施例中,界面层104可以包含高迁移率和低陷阱密度的SiO2。界面层104的厚度可以例如介于约5埃到约15埃之间,介于约6埃和约10埃之间,例如为约8埃。可以在洁净的衬底102上形成界面层104。衬底102的清洁可以在含有稀释的氢氟酸(HF)的液池中进行或者可替换地通过HF气相刻蚀进行。稀释的HF溶液可以是H2O:HF(例如50:1)混合物。HF清洁过程之后,可以在去离子(D.I.)水中冲洗衬底102。界面层104可以包含化学氧化物层,该氧化物层通过如下形成:使单晶硅衬底102的表面氧化,随后除去天然氧化物层。例如化学氧化物层可以在含有去离子(DI)水和臭氧(O3)的水浴中进行。化学氧化物层可以是高纯度的,厚度介于约6埃和约15埃之间。化学氧化物层使下层的单晶硅衬底102的未氧化的部分钝化,从而形成在空气暴露期间对天然氧化物的屏障层。化学氧化物层形成之后,衬底102被从水溶液中取出并干燥,例如通过应用异丙醇和离心脱水的组合。衬底102上化学氧化物层的存在限制了:在将衬底102从水溶液转移到用于进一步处理的真空处理设备的过程中,大气污染物(例如有机污染物)在化学氧化物层的暴露面的积聚。根据其他实施方式,洁净的衬底102可以转移至真空处理设备并 且在真空处理设备中形成界面层104。 
图1B示意性示出了沉积在界面层104上的稀土基第一高k膜106。稀土基第一高k膜106可以包含:含有钇(Y)、镥(Lu)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)或镱(Yb)的氧化物、氮化物或氧氮化物或其中两种或更多种的任意组合的膜。稀土氧化物高k膜的例子包括:氧化镧(La2O3)、氧化镥(Lu2O3)和氧化镧镥(LaLuO3)。稀土基第一高k膜106的厚度可以例如介于约2埃和约30埃之间、介于约5埃和约20埃之间、或者介于约5埃和约10埃之间。稀土基第一高k膜106可以如下的方法沉积:化学气相沉积(CVD)、原子层沉积(ALD)、等离子体增强CVD(PECVD)或等离子体增强ALD(PEALD)。根据本发明的一种实施方式,可以使用ALD法或PEALD法,因为与CVD法和PECVD法相比,通常可以实现更高的均匀度和更好的原子水平的厚度控制。 
根据本发明的一种实施方式,第一高k膜106可以包含碱土金属元素、钛、铪或稀土元素或者其中两种或更多种的任意组合。根据一些实施方式,第一高k膜106可以包含选自元素周期表中能形成高k膜(例如氧化物膜、氮化物膜或氮氧化物膜)的元素。在一个实施例中,能形成高k膜的元素可以与界面层104反应并形成高k膜。 
在图1C中,箭头108代表稀土基第一高k膜106和界面层104的热处理。根据本发明的实施方式,所述热处理包括:在能形成如图1D所示的改性界面层110的温度下加热稀土基第一高k膜106和界面层104。改性界面层110的等效氧化物厚度等于或小于图1A中所示界面层104的等效氧化物厚度。热处理可以进行约10秒到约600秒的时间段,例如约300秒。热处理可以在基本上无氧、减压条件下、使用或者不使用惰性气体来进行。在一个实施例中,热处理可以在压力小于100Torr的惰性气氛中进行,该惰性气氛中氧气含量小于0.1%。在一个实施例中,热处理可以在低于1mTorr、低于0.1mTorr或低于0.01mTorr的压力下、在惰性气体存在下进行。当使用惰性气体时,惰性气体可以选自氮气和稀有气体。示例性的使用惰性气体的热处理条件可以包括:惰性气体压力在约1mTorr到 约100Torr的范围内、或在约100m Torr到约10Torr的范围内。但是,本发明的实施方式并不限于这些热处理条件,可以使用其他热处理条件。 
在一个实施例中,衬底102可以包含单晶硅,界面层104可以包含SiO2,稀土基第一高k膜106可以包含La2O3,而热处理温度可以等于或高于900℃。但要理解的是:可以选择热处理温度从而使沉积在特定界面层上的特定稀土基第一高k膜106实现低EOT。 
图1E示出了沉积在改性界面层110上的第二高k膜112。第二高k膜112例如包含铪、锆或铪和锆,包括氧化铪(HfO2)、氮氧化铪(HfON)、硅酸铪(HfSiO)、氮氧硅化铪(HfSiON)、氧化锆(ZrO2)、氮氧化锆(ZrON)、硅酸锆(ZrSiO)、氮氧硅化锆(ZrSiON)、氧化铪锆(HfZrO2)、氮氧化铪锆(HfZrON)、硅酸铪锆(HfZrSiO)或者氮氧硅化铪锆(HfZrSiON),或其中两种或更多种的组合。第二高k膜112的厚度可以例如介于约10埃和约100埃之间、介于约15埃和约50埃之间、或介于约20埃和约40埃之间。第二高k膜112可以通过例如CVD、ALD、PECVD或PEALD沉积。 
根据本发明的一种实施方式,第二高k膜112可以包含碱土金属元素、钛、铪、锆或稀土元素或其中两种或更多种的任意组合。 
根据本发明的一些实施方式,至少部分厚度的第二高k膜112可以被氮化。这种氮化的好处包括:改善第二高k膜112的扩散阻挡特性并且具有较高的介电常数。图1F显示了将第二高k膜112暴露于可通过含氮气体的热激发和/或等离子体激发形成的氮化物质114中。含氮气体的例子包括但不限于:氮气(N2)、氨气(NH3)、肼(N2H4)和C1-C10烷基肼化合物。常见的C1和C2烷基肼化合物包括甲基肼(MeNHNH2)、1,1-二甲基肼(Me2NNH2)和1,2-二甲基肼(MeNHNHMe)。在其他例子中,含氮气体可以包括含氧和氮的气体,例如NO、NO2或N2O或其组合。图1G显示了将第二高k膜112暴露于氮化物质114之后得到的具有厚度117的氮化区116。根据其他实施方式,图1F中描述的氮化步骤可以省略。 
图1H示意性地示出了沉积在第二高k膜112上的栅电极118。栅电极118的厚度可以介于约2nm和约40nm之间,或介于约3nm和约20nm之间,并且可以包含例如W、WN、WSix、Al、Mo、Ta、TaN、TaSiN、 HfN、HfSiN、Ti、TiN、TiSiN、Mo、MoN、Re、Pt或Ru。尽管图1H中未示出,栅电极118可以进一步用多晶硅层、氧扩散阻挡层或这两层覆盖。代表性的氧扩散阻挡层包括但不限于:无定形硅、TiN、TaN、TaSiN、TiSiN和Ru。 
根据本发明的一个实施方式,通过使用标准光刻和刻蚀方法可以进一步处理图1H所示的膜结构从而形成图案化的栅极叠层。例如,可以使用光刻设备将图案成像在图1H的膜上沉积的光致抗蚀剂材料(未示出)上。在随后的刻蚀过程(例如等离子体刻蚀过程)中该图案提供了物理屏障从而选择性地除去未被暴露的光致抗蚀剂保护的材料。图1I示意性示出了包括图案化改性界面层120、含有图案化氮化区126的图案化第二高k膜122和图案化栅电极128的图案化栅极叠层。 
图2A示出了根据本发明的实施方式作为含HfSiON膜和不同厚度氧化镧高k膜的高k栅极叠层的EOT的函数的泄漏电流(Jg)。栅极叠层还包括Si衬底上的SiO2界面层和在HfSiON膜上形成的 10nm厚的TiN栅电极膜。SiO2界面层是在含有去离子(DI)水和臭氧(O3)的水浴中形成的化学氧化物层并且其估计的厚度为0.6nm—1nm。在衬底加热器温度为305℃且过程压力介于约0.2Torr和约0.6Torr之间下,通过ALD交替暴露于二异丙基甲胺化镧(La(((iPr)2N)2CH)3)和氧气使氧化镧高k膜沉积在SiO2界面层上。ALD循环的次数在5-12之间变化且估算氧化镧的沉积速度约为0.8nm/循环。通过沉积HfSiO膜并且随后将HfSiO膜暴露于等离子体激发的氮化气体以使氮结合到HfSiO膜中从而形成HfSiON膜。通过化学气相沉积(CVD)法用叔丁氧基铪、正硅酸四乙酯和O2来沉积HfSiO。图2A示出了SiO2界面层和HfSiON膜之间薄的氧化镧高k膜的存在使EOT从约1.2nm增大到约1.25nm-1.3nm,并且使泄漏电流减小。EOT的增大是意料之中的,因为氧化镧高k膜是介电薄膜。图2A还示出了作为常规SiO2栅极介电薄膜的EOT的函数的Jg。 
图2B示出了根据本发明的实施方式作为含HfSiON膜和不同厚度的下层氧化镧高k膜的高k栅极叠层的平带电压(Vfb)的函数的EOT。Vfb从-0.5V减小至约-0.8V和约-0.9V之间。 
图3A示出了根据本发明的实施方式,作为在HfSiON膜的沉积之前在不同温度下热处理的含HfSiON膜和氧化镧高k膜的高k栅极叠层的EOT的函数的Jg。图3A与图2A类似,但是通过如下方法沉积氧化镧高k膜:使用10次ALD循环,并且随后在气压为1.5Torr的氮气中和700℃、800℃、900℃或1000℃的温度下热处理。所述热处理通过SiO2界面层与氧化镧高k膜的相互影响/反应形成改性的界面层。热处理之后,使HfSiON膜沉积在改性界面层上并且使TiN膜沉积在HfSiON膜上。图3A显示出在700℃或800℃热处理氧化镧高k膜将增大高k栅极叠层的EOT,这可能是因为硅衬底的进一步氧化导致SiO2界面层增大。 
图3A还示出了:当氧化镧高k膜和界面层在900℃或1000℃下热处理时,包含改性界面层的高k栅极叠层的EOT出人意料地减小。具体地,在900℃下的热处理使EOT值降低至大约等于或小于高k栅极叠层中不使用氧化镧高k膜时得到的值。在1000℃下的热处理使EOT值进一步降低至约1.1nm,这比不使用氧化镧高k膜时或使用氧化镧但在900℃下热处理时得到的值低约0.1nm。我们推测,意外的EOT的降低可能是因为氧化镧高k膜与SiO2界面层反应和/或因为至少部分SiO2界面层的真空蒸发。为了对比,仅在使HfSiON沉积在SiO2界面层上之后,在900℃或1000℃热处理含氧化镧高k膜的高k栅极叠层,没有产生这种EOT缩小。 
图3B示出了根据本发明的实施方式,作为在HfSiON膜的沉积之前在不同温度下热处理的含HfSiON膜和氧化镧高k膜的高k栅极叠层的Vfb的函数的EOT。图3B与图2B类似,但是在HfSiON膜和TiN膜沉积之前,使用10次ALD循环并且随后在700℃、800℃、900℃或1 000℃的温度下热处理而使氧化镧高k膜沉积。Vfb从-0.5V减小至约-0.8V和约-1V之间。 
图4是根据本发明的一个实施方式用于形成具有降低的EOT的高k栅极叠层的方法流程图。上面已详细描述了工艺步骤。过程400从402开始,其中提供含硅的衬底。根据一些实施方式,衬底可以包含Si,例如晶体硅、多晶硅或无定形硅。根据另一个实施方式,衬底可以包含Ge或 SixGe1-x化合物,其中x是Si的原子分数,1-x是Ge的原子分数,并且0<(1-x)<1.示例性的SixGe1-x化合物包括Si0.1Ge0.9、Si0.2Ge0.8、Si0.3Ge0.7、Si0.4Ge0.6、Si0.5Ge0.5,Si0.6Ge0.4、Si0.7Ge0.3、Si0.8Ge0.2和Si0.9Ge0.1。 
在404中,界面层在含硅的衬底上形成。根据一些实施方式,该界面层可以包含氧化硅、氮化硅和氮氧化硅。 
在406中,第一高k膜沉积在界面层上。该第一高k膜可以包含含有钇(Y)、镥(Lu)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)或镱(Yb)的氧化物、氮化物或氧氮化物或其中两种或更多种的组合的膜。稀土基高k膜的例子包括:氧化镧(La2O3)、氧化镥(Lu2O3)和氧化镧镥(LaLuO3)。 
在408中,在形成具有等于或低于界面层的第一EOT的第二等效氧化物厚度的改性界面层的温度下对第一高k膜和界面层进行热处理。根据一些实施方式,所述热处理包括:在该温度下和基本上无氧的减压条件下加热第一高k膜和界面层。热处理可进一步包括将第一高k膜暴露于惰性气体中。 
在410中,第二高k膜被沉积在改性界面层上。根据一些实施方式,第二高k膜可以包含氧化铪、氮氧化铪、硅酸铪、氮氧硅化铪、氧化锆、氮氧化锆、硅酸锆、氮氧硅化锆、氧化铪锆、氮氧化铪锆、硅酸铪锆或者氮氧硅化铪锆,或其中两种或更多种的组合。 
在412中,至少部分厚度的第二高k膜被选择性氮化。在一个实施例中,被氮化的第二高k膜可以进一步热处理。热处理的条件可以包括:900—1000℃的温度、以及可选包含少量O2(例如:约0.1%O2)的约为1.5Torr的氮气环境。在414中,栅电极被沉积在第二高k膜上或者氮化的第二高k膜上,并通过使栅电极膜、氮化的第二高k膜和改性界面层图案化而形成图案化的栅极结构。 
图5是根据本发明的一个实施方式用于形成具有降低的EOT的高k栅极叠层的真空处理设备的示意图。真空处理设备500包括衬底(晶片)转移系统501,衬底(晶片)转移系统501含有盒式模块501A和501B、衬底对齐模块501C。加载互锁真空室502A和502B连接在衬底转移系统 501上。衬底转移系统501保持在大气压下,但通过惰性气体的吹扫来提供洁净的环境。 
加载互锁真空室502A和502B连接在衬底转移系统503上。可以通过使用涡轮分子泵(未示出)使衬底转移系统503保持在非常低的基准压力下(例如5x10-8Torr或更低)。衬底转移系统503包括衬底转移机械手,并与除气系统504A和504D相连接;处理系统504B和504C可以被配置成用于在衬底上形成界面层。 
此外,衬底转移系统503经由衬底处理室504E与衬底转移系统505相连接。如在衬底转移系统503中一样,可以通过使用涡轮分子泵(未示出)使衬底转移系统505保持在非常低的基准压力下(例如5x10-8To rr或更低)。衬底转移系统505包括衬底转移机械手。与衬底转移系统505相连的是:被配置用于使稀土基第一高k膜沉积在衬底上的处理系统506D、被配置用于使第二高k膜沉积在衬底上的处理系统506A、被配置用于选择性氮化膜的处理系统506C和被配置用于使栅电极膜沉积在衬底上的处理系统506B。 
例如,图6A和6B中所示的处理系统1和2可以被用作真空处理设备500的处理系统506A和506D。处理系统506C可以包括例如含有来自日本赤坂Tokyo Electron Limited的槽平面天线(SPA)等离子体源的等离子体处理系统。包含槽平面天线等离子体源的等离子体处理系统及其使用方法的其他细节在标题为“METHOD FOR PRODUCING MATERIAL OFELECTRONIC DEVICE”的欧洲专利No.EP1361605中描述了,其全部内容通过引用结合于此。或者,处理系统506C可以包括例如紫外(UV)辐射等离子体源和远程等离子体源。这种处理系统在标题为“NITRIDINGMETHOD FOR INSULATION FILM,SEMICONDUCTOR DEVICE AND PRODUCTION METHOD FOR SEMICONDUCTOR DEVICE,SUBSTRATE TREATING DEVICE AND SUBSTRATE TREATING METHOD”的欧洲专利No.EPl453083A1中描述了,其全部内容通过引用结合于此。根据一种实施方式,处理系统504B和504C可以包括例如上述的紫外(UV)辐射等离子体源和远程等离子体源并且被配置用于在衬底上形成界面层或使膜氮 化。 
真空处理设备500包括控制器510,在集成衬底的加工期间该控制器可以连接并控制图5中描绘的任何一个或所有的处理系统和处理元件。或者或另外,控制器510可以连接到一个或更多个额外的控制器/计算机(未示出)上,并且控制器510可以从额外的控制器/计算机中获得设置和/或配置的信息。控制器510可用于配置处理系统和处理元件中的任何一个或所有,并且控制器510可以收集、提供、处理、存储和显示来自任何一个或所有处理系统和处理元件的数据。控制器510可以包含多个用于控制任何一个或所有处理系统和处理元件的应用程序。例如,控制器510可以包括图形用户界面(GUI)组件(未示出),其可以提供容易使用的界面,这些界面使用户能监视和/或控制一个或更多个处理系统和处理元件。 
控制器510可以包括微处理器、存储器和数字I/O端口,数字I/O端口能够产生足以传递、激活输入并与真空处理设备500交换信息以及监视来自真空处理设备500的输出的控制电压。例如,可以使用存储在存储器中的程序根据处理程序来激活真空处理设备的输入从而进行集成衬底的加工。控制器510可以被实施为通用计算机系统,其响应于处理器执行存储器中包含的一个或多个指令的一个或多个序列而进行基于处理器的本发明的处理步骤中的一部分或全部。这样的指令可以从另一计算机可读介质(例如硬盘或可移动介质驱动器)读入控制器存储器。多处理配置系统中的一个或多个处理器也可以被用作控制器微处理器以执行包含在主存储器中的指令序列。在可选的实施例中,硬件有线电路可以代替软件指令或与软件指令组合使用。因此,实施方式不限于硬件电路和软件的任一特定组合。 
控制器 510包括至少一个计算机可读介质或存储器(例如控制器存储器)以保存根据本发明的教导编程的指令,并保存为实施本发明所需的数据结构、表格、记录或其他数据。计算机可读介质的例子是紧致盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存式EPROM)、DRAM、SRAM、SDRAM、或任意其他磁介质、紧致盘(例如,CD-ROM)、或任意其他光学介质、打孔卡、纸带、或其他具有孔图 案的物理介质、载波(下文说明)、或任意其他计算机可以读取的介质。 
本发明包括存储在任一种计算机可读介质或计算机可读介质的组合中的用于控制控制器510、用于对实现本发明的一个或多个装置进行驱动、和/或用于使控制器510能够与人用户交互的软件。这样的软件可以包括但不限于:设备驱动、操作系统、开发工具和应用软件。这样的计算机可读介质还包括用于执行为实施本发明而进行的处理的全部或一部分(如果处理是分布式的)的本发明的计算机程序产品。 
本发明的计算机编码装置可以是任意可解释或可执行的编码机制,包括但不限于:脚本、可解释程序、动态链接库(DLL)、Java类、以及完整的可执行程序。此外,为了实现更优的性能、可靠性和/或成本,本发明的处理的一些部分可以分布式进行。 
如本文使用的术语“计算机可读介质”表示参与向控制器510的处理器提供指令以用于执行的任意介质。计算机可读介质可以采取任意形式,包括但不限于:非易失性介质、易失性介质和传送介质。非易失性介质包括例如光盘、磁盘和磁光盘,诸如硬盘或可移动介质驱动器。易失性介质包括动态存储器,例如内存。此外,可以使用各种形式的计算机可读介质来实现控制器的处理器对一个或更多个指令的一个或更多个序列的执行。例如,指令可以首先承载在远程计算机的磁盘上。远程计算机可以将用于实施本发明的全部或一部分的指令远程载入动态存储器中,并通过网络将指令发送至控制器510。 
控制器可以在相对于真空处理设备500本地布置,或者可以相对于真空处理设备500远程布置。例如,控制器510可以利用直接连接、局域网、互联网和无线连接中的至少一者来与真空处理设备500交换数据。控制器510可以例如连接到用户端(即器件制造商等)的局域网,或者可以例如连接到供应商端(即设备制造商等)的局域网。此外,例如,控制器510可以连接至互联网。此外,另一台计算机(即控制器、服务器等),可以例如访问控制器510,以经由直接连接、局域网和互联网中的至少一种来交换数据。本领域普通技术人员还应该理解的是:控制器510可以经由无线连接与真空处理设备500交换数据。 
本领域普通技术人员容易认识到:本发明的实施方式不需要使用图5描绘的真空处理设备500的所有处理系统。如上所述,真空处理设备500可以包括两个处理系统(例如处理系统504B和504C),它们被配置用于进行相同或类似的处理。为了增大真空处理设备500的晶片生产量可以这样做。因此,本发明的一些实施方式可以包括使用少于图5中描绘的所有处理单元的处理系统。 
图6A和6B描述了根据本发明的实施方式用于将高k材料沉积在衬底上的处理系统。图6A和6B中所示的处理系统可以用作使稀土基第一高k膜沉积在衬底上的处理系统506D和用作使第二高k膜沉积在衬底上的处理系统506A。 
图6A描述了可以被配置用于进行ALD或CVD处理的处理系统。处理系统1包括:具有配置用于支撑衬底22(在其上沉积高k材料)的衬底支架20的处理室10。处理室10还包括连接到第一前驱体供应系统40和第二前驱体供应系统42的上部组件30(例如喷头)。第一和第二前驱体供应系统40和42可以包括稀土前驱体供应系统、铪前驱体供应系统、锆前驱体供应系统、钛前驱体供应系统或碱土金属前驱体供应系统或其组合。 
处理系统1进一步包括净化气体供应系统44、含氧气体供应系统46、含氮气体供应系统48和辅助气体供应系统50。辅助气体供应系统可以例如被用于提供额外的稀土前驱体或硅前驱体。此外,处理系统1包括衬底温度控制系统60,其被连接到衬底支架20上,被配置用于升高和控制衬底22的温度。此外,处理系统1包括控制器70,其可以连接到处理室10、衬底支架20和上部组件30上,被配置用于将工艺气体引入处理室10、第一前驱体供应系统40、第二前驱体供应系统42、净化气体供应系统44、含氧气体供应系统46、含氮气体供应系统48、辅助气体供应系统50和衬底温度控制系统60。 
或者或另外,控制器70可以被连接至一个或更多个额外的控制器/计算机(未示出)上,并且控制器70可以从额外的控制器/计算机中获得设置和/或配置的信息。 
在图6A中,示出了单个处理元件(10、20、30、40、42、44、46、48、50和60),但这并不是本发明必需的。除了独立的处理元件,处理系统1可以包括任何数目的处理元件,这些处理元件具有与其相关联的任何数目的控制器。控制器70可用于配置任何数目的处理元件(10、20、30、40、42、44、46、48、50和60),并且控制器70可以收集、提供、处理、存储并显示来自处理元件的数据。控制器70可以包含多个用于控制一个或更多个处理元件的应用程序。例如,控制器70可以包含图形用户界面(GUI)组件(未示出),其可以提供容易使用的界面,这些界面使用户能监视和/或控制一个或更多个处理元件。 
仍参考图6A,处理系统1可以被配置用于处理200mm的衬底、300mm的衬底或更大尺寸的衬底。事实上,可以预期处理系统1可以被配置为处理不管多大尺寸的衬底、晶片或LCD,本领域技术人员将意识到这一点。因此,尽管所描述的本发明的方面与半导体衬底的处理有关,但是本发明并不仅限于此。或者,能同时处理多个衬底的批量处理系统也可以用于本发明的实施方式中所描述的高k材料沉积。 
根据本发明的实施方式,可以使用多种方法将第一和/第二前驱体引入处理室10中。一种方法包括:通过使用单独起泡器或直接液体注入(DLI)系统或其组合使前驱体蒸发,然后在引入处理室10期间或之前在气相中混合。已显示:DLI系统降低了起泡法中前驱体过早的热分解。通过单独控制每一种前驱体的蒸发速率,可以在沉积的膜中获得期望的化学计量比。另一种传送第一和第二前驱体的方法包括:分别控制两种或更多种不同的液体源(纯的前驱体或前驱体溶液),然后在进入共蒸发器之前使它们混合。当前驱体在溶液中可相容或者为液体形式并且它们具有类似的蒸发特性时,可以使用这种方法。传送第一和第二前驱体的另一种方法包括:控制液态前驱体混合物(纯的前驱体或前驱体溶液)向共蒸发器的流动。其他方法包括:在起泡器中使用可相容的混合固态或液态前驱体。液体源前驱体可以包含纯的液态前驱体、或溶于可相容溶剂的固态或液态前驱体。可相容的溶剂可以包括但不限于:离子液体、烃(脂肪族、烯烃和芳香族)、胺、酯、甘醇二甲醚、冠醚、醚或聚醚。在一些情况下,可 以将一种或更多种可相容的固态前驱体溶于一种或更多种可相容的液态前驱体中。对于本领域普通技术人员来说很显然的是通过控制第一和第二前驱体在气体脉冲中的相对浓度水平,可以以期望的化学计量比来沉积膜。 
本发明的实施方式可以使用多种铪和锆的前驱体。例如,代表性例子包括:Hf(OtBu)4(叔丁氧基铪,HTB)、Hf(NEt2)4(四(二乙氨基)铪,TDEAH)、Hf(NEtMe)4(四(乙基甲氨基)铪,TEMAH)、Hf(NMe2)4(四(二甲氨基)铪,TDMAH)、Zr(OtBu)4(叔丁氧基锆,ZTB)、Zr(NEt2)4(四(二乙氨基)锆,TDEAZ)、Zr(NMeEt)4(四(乙基甲氨基)锆,TEMAZ)、Zr(NMe2)4(四(二甲氨基)锆,TDMAZ)、Hf(mmp)4、Zr(mmp)4、HfCl4、ZrCl4、ZrCp2Me2、Zr(tBuCp)2Me2和Zr(NiPr2)4。在一个实施例中,铪和锆的前驱体可以具有相同的配体(例如HTB和ZTB),从而避免了前驱体之间任何可能的有害的配体交换。 
本发明的实施方式可以使用很多种不同的稀土前驱体。例如,多种稀土前驱体具有下式: 
ML1L2L3Dx
其中M是选自下组中的稀土金属元素:钇(Y)、镥(Lu)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd),、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)和镱(Yb)。L1、L2、L3是单独的阴离子配体,而D是中性供体配体,其中x可以为0、1、2或3。每一个L1、L2、L3配体都可以独立地选自下组:烷氧基配体、卤化配体、芳氧基配体、酰胺配体、环戊二烯配体、烷基配体、硅烷基配体、脒基配体(amidinate)、β-二酮化物配体、酮亚胺配体、硅烷醇配体和羧酸配体。D配体可以选自由下列组成的组:醚配体、呋喃配体、吡啶配体、吡咯配体、吡咯烷配体、胺配体、冠醚配体、甘醇二甲醚配体和腈配体。 
L基团烷氧基配体的例子包括:叔丁醇配体、异丙醇配体、乙醇配体、1-甲氧基-2,2-二甲基-2-丙酸配体(mmp)、1-二甲氨基-2,2'-二甲基-丙酸配体、戊氧基配体(amyloxide)和新戊氧基配体(neo-pentoxide)。卤化配体的例子包括:氟化配体、氯化配体、碘化配体和溴化配体。芳氧基配体的例子包括:酚配体和2,4,6-三甲基酚配体。酰胺配体的例子包括双(三 甲基硅烷基)酰胺配体、二叔丁基酰胺配体和2,2,6,6-四甲基胡椒酯配体(TMPD)。环戊二烯基配体的例子包括环戊二烯基、1-甲基环戊二烯基、1,2,3,4-四甲基环戊二烯基、1-乙基环戊二烯基、五甲基环戊二烯基、1-异丙基环戊二烯基、1-正丙基环戊二烯基和1-正丁基环戊二烯基。烷基配体的例子包括:双(三甲基硅烷基)甲基、三(三甲基硅烷基)甲基和三甲基硅烷基甲基。硅烷基的例子包括三甲基硅烷基。脒基配体的例子包括:N,N’-二叔丁基乙酰脒基配体、N,N’-二异丙基乙酰脒基配体、N,N’-二异丙基-2-叔丁基脒基配体和N,N’-二叔丁基-2-叔丁基脒基配体。β-二酮化物配体的例子包括:2,2,6,6-四甲基-3,5-庚二酸配体(THD)、六氟-2,4-戊二酸配体和6,6,7,7,8,8,8-七氟-2,2-二甲基-3,5-辛二酸配体(FOD)。酮亚胺配体的例子为:2-异丙基亚氨基-4-戊酮配体。硅烷醇配体的例子包括:三叔丁基硅氧基配体和三乙基硅氧基配体。羧酸配体的例子为2-乙基己酸配体。 
D配体的例子包括四氢呋喃、二乙醚、1,2-二甲氧基乙烷、二甘醇二甲醚、三甘醇二甲醚、四甘醇二甲醚、12-冠-6、10-冠-4、吡啶、N-甲基吡咯烷、三乙胺、三甲胺、乙腈和2,2-二甲基丙腈。 
稀土前驱体代表性的例子包括:: 
钇前驱体:Y(N(SiMe3)2)3,Y(N(iPr)2)3,Y(N(tBu)SiMe3)3,Y(TMPD)3,Cp3Y,(MeCp)3Y,((nPr)Cp)3Y,((nBu)Cp)3Y,Y(OCMe2CH2NMe2)3,Y(THD)3,Y[OOCCH(C2H5)C4H9]3,Y(C11H19O2)3CH3(OCH2CH2)3OCH3,Y(CF3COCHCOCF3)3,Y(OOCC10H7)3,Y(OOC10H19)3和Y(O(iPr))3。 
镧前驱体:La(N(SiMe3)2)3,La(N(iPr)2)3,La(N(tBu)SiMe3)3,La(TMPD)3,((iPr)Cp)3La,Cp3La,Cp3La(NCCH3)2,La(Me2NC2H4Cp)3,La(THD)3,La[OOCCH(C2H5)C4H9]3,La(C11H19O2)3·CH3(OCH2CH2)3OCH3,La(C11H19O2)3·CH3(OCH2CH2)4OCH3,La(O(iPr))3,La(OEt)3,La(acac)3,La(((tBu)2N)2CMe)3,La(((iPr)2N)2CMe)3,La(((iPr)2N)2CH)3,La(((tBu)2N)2C(tBu))3,La(((iPr)2N)2C(tBu))3,and La(FOD)3。 
铈前驱体:Ce(N(SiMe3)2)3,Ce(N(iPr)2)3,Ce(N(tBu)SiMe3)3,Ce(TMPD)3,Ce(FOD)3,((iPr)Cp)3Ce,Cp3Ce,Ce(Me4Cp)3,Ce(OCMe2CH2NMe2)3,Ce(THD)3,Ce[OOCCH(C2H5)C4H9]3,Ce(C11H19O2)3·CH3(OCH2CH2)3OCH3, Ce(C11H19O2)3·CH3(OCH2CH2)4OCH3,Ce(O(iPr))3,and Ce(acac)3。 
镨前驱体:Pr(N(SiMe3)2)3,((iPr)Cp)3Pr,Cp3Pr,Pr(THD)3,Pr(FOD)3,(C5Me4H)3Pr,Pr[OOCCH(C2H5)C4H9]3,Pr(C11H19O2)3·CH3(OCH2CH2)3OCH3,Pr(O(iPr))3,Pr(acac)3,Pr(hfac)3,Pr(((tBu)2N)2CMe)3,Pr(((iPr)2N)2CMe)3,Pr(((tBu)2N)2C(tBu))3,and Pr(((iPr)2N)2C(tBu))3。 
钕前驱体:Nd(N(SiMe3)2)3,Nd(N(iPr)2)3,((iPr)Cp)3Nd,Cp3Nd,(C5Me4H)3Nd,Nd(THD)3,Nd[OOCCH(C2H5)C4H9]3,Nd(O(iPr))3,Nd(acac)3,Nd(hfac)3,Nd(F3CC(O)CHC(O)CH3)3,and Nd(FOD)3。 
钐前驱体:Sm(N(SiMe3)2)3,((iPr)Cp)3Sm,Cp3Sm,Sm(THD)3,Sm[OOCCH(C2H5)C4H9]3,Sm(O(iPr))3,Sm(acac)3,and(C5Me5)2Sm。 
铕前驱体:Eu(N(SiMe3)2)3,((iPr)Cp)3Eu,Cp3Eu,(Me4Cp)3Eu,Eu(THD)3,Eu[OOCCH(C2H5)C4H9]3,Eu(O(iPr))3,Eu(acac)3,and(C5Me5)2Eu。 
钆前驱 体:Gd(N(SiMe3)2)3,((iPr)Cp)3Gd,Cp3Gd,Gd(THD)3,Gd[OOCCH(C2H5)C4H9]3,Gd(O(iPr))3,and Gd(acac)3。 
铽前驱体:Tb(N(SiMe3)2)3,((iPr)Cp)3Tb,Cp3Tb,Tb(THD)3,Tb[OOCCH(C2H5)C4H9]3,Tb(O(iPr))3,and Tb(acac)3。 
镝前驱体:Dy(N(SiMe3)2)3,((iPr)Cp)3Dy,Cp3Dy,Dy(THD)3,Dy[OOCCH(C2H5)C4H9]3,Dy(O(iPr))3,Dy(O2C(CH2)6CH3)3,and Dy(acac)3。 
钬前驱体:Ho(N(SiMe3)2)3,((iPr)Cp)3Ho,Cp3Ho,Ho(THD)3,Ho[OOCCH(C2H5)C4H9]3,Ho(O(iPr))3,and Ho(acac)3。 
铒前驱体:Er(N(SiMe3)2)3,((iPr)Cp)3Er,((nBu)Cp)3Er,Cp3Er,Er(THD)3,Er[OOCCH(C2H5)C4H9]3,Er(O(iPr))3,and Er(acac)3。 
铥前驱体:Tm(N(SiMe3)2)3,((iPr)Cp)3Tm,Cp3Tm,Tm(THD)3,Tm[OOCCH(C2H5)C4H9]3,Tm(O(iPr))3,and Tm(acac)3。 
镱前驱体:Yb(N(SiMe3)2)3,Yb(N(iPr)2)3,((iPr)Cp)3Yb,Cp3Yb,Yb(THD)3,Yb[OOCCH(C2H5)C4H9]3,Yb(O(iPr))3,Yb(acac)3,(C5Me5)2Yb,Yb(hfac)3,and Yb(FOD)3。 
镥前驱体:Lu(N(SiMe3)2)3,((iPr)Cp)3Lu,Cp3Lu,Lu(THD)3,Lu[OOCCH(C2H5)C4H9]3,Lu(O(iPr))3,and Lu(acac)3。 
在上述前驱体以及下面所列的前驱体中,使用了下列常用的缩写:Si:硅;Me:甲基;Et:乙基;iPr:异丙基;nPr:正丙基;Bu:丁基;nBu:正丁基;sBu:仲丁基;iBu:异丁基;tBu:叔丁基;Cp:环戊二烯基;THD:2,2,6,6-四甲基-3,5-庚二酸;TMPD:2,2,6,6-四甲基胡椒酯;acac:乙酰丙酮;hfac:六氟乙酰丙酮;和FOD:6,6,7,7,8,8,8-七氟-2,2-二甲基-3,5-辛二酸。 
仍旧参考图6A,含氧气体供应系统46被配置以将含氧气体引入处理室10中。含氧气体可以包括但不限于:O2、水(H2O)或过氧化氢(H2O2)或其组合,并且可选地包含惰性气体(诸如Ar)。类似地,含氮气体供应系统48被配置以将含氮气体引入处理室10中。含氮气体的例子包括但不限于:氨气(NH3)、肼(N2H4)和 C1-C10烷基肼化合物。常见的C1和C2烷基肼化合物包括甲基肼(MeNHNH2)、1,1-二甲基肼(Me2NNH2)和1,2-二甲基肼(MeNHNHMe)。根据本发明的一种实施方式,可以使用含氧和氮的气体,例如NO、NO2或N2O或其组合,并可选地包含惰性气体(诸如Ar)。 
为了将硅结合到高k膜中,本发明的实施方式可以利用多种硅前驱体。硅前驱体的例子包括但不限于:硅烷(SiH4)、乙硅烷(Si2H6)、一氯甲硅烷(SiClH3)、二氯甲硅烷(SiH2C12)、三氯甲硅烷(SiHCl3)、六氯乙硅烷( Si2Cl6)、二乙基硅烷(Et2SiH2)、正硅酸四乙酯(TEOS,Si(OCH2CH3)4)和烷基氨基硅烷化合物。烷基氨基硅烷化合物的例子包括但不限于:二异丙基氨基硅烷(H3Si(NPr2))、双(叔丁基氨基)硅烷((C4H9(H)N)2SiH2)、四(二甲氨基)硅烷(Si(NMe2)4)、四(乙基甲基氨基)硅烷(Si(NEtMe)4)、四(二乙氨基)硅烷(Si(NEt2)4)、三(二甲氨基)硅烷(HSi(NMe2)3)、三(乙基甲基氨基)硅烷(HSi(NEtMe)3)、三(二乙氨基)硅烷(HSi(NEt2)3)和三(二甲基肼基)硅烷(HSi(N(H)NMe2)3)、双(二乙氨基)硅烷(H2Si(NEt2)2)、双(二异丙基氨基)硅烷(H2Si(NPr2)2)、三(异丙基氨基)硅烷(HSi(NPr2)3)和(二异丙基氨基)硅烷(H3Si(NPr2))。 
仍旧参考图6A,净化气体供应系统44被配置以将净化气体引入处理室10中。例如,净化气体的引入可以发生在将第一和第二前驱体的脉冲与含氧气体、含氮气体或含氧和氮的气体的脉冲引入处理室10之间。净 化气体可以包含惰性气体,例如稀有气体(即He、Ne、Ar、Kr、Xe),氮气(N2)或氢气(H2)。 
此外,处理系统1包括连接到衬底支架20并被配置用于升高和控制衬底22的温度的衬底温度控制系统60。衬底温度控制系统60包括温度控制元件,例如包含再循环冷却剂流的冷却系统,这种再循环冷却剂流从衬底支架20吸收热量,并将热量传递到热交换器系统(未示出),或者加热时传输来自热交换器系统的热量。另外,温度控制元件可以包括加热/冷却元件,例如电阻加热元件或热电加热器/冷却器,这些元件可以被包括在衬底支架20以及处理室10的室壁和处理系统10内的任何其他部件中。衬底温度控制系统60可以例如被配置用于升高和控制衬底的温度从室温到约350℃-约550℃。或者,衬底温度可以例如在约150℃到约350℃的范围内。但要理解的是:衬底温度的选择是基于能使特定的介电材料沉积在所给定衬底表面的期望温度。 
为了改善衬底22和衬底支架20之间的热传递,衬底支架20可以包括机械夹紧系统或电子夹紧系统(例如静电夹紧系统),以将衬底22固定在衬底支架20的上表面。此外,衬底支架20还可以包括衬底背面气体传输系统,该系统被配置用于将气体引入衬底22的背面,从而改善衬底22和衬底支架20之间的气隙热传导。当在升高或降低温度时需要对衬底进行温度控制时,可以采用这样的系统。例如,衬底背面气体系统可以包括两区气体分配系统,其中氦气隙压力可以在衬底22的中心和边缘之间独立变化。 
此外,处理室10还经过导管38连接到包括真空泵系统34和阀36的压力控制系统32上,其中压力控制系统32被配置为可控地将处理室10排空至适合于在衬底22上形成膜并且适合于第一和第二工艺材料的使用的压力。真空泵系统34可以包括泵速能高达5000升每秒(以及更高)的涡轮分子真空泵(TMP)或低温泵。此外,用于监视室压的设备(未示出)可以被连接至处理系统10。压力控制系统32例如可以被配置,用于在高k材料的沉积过程中控制处理室的压力在约0.1Torr和约100Torr之间。 
第一前驱体供应系统40、第二前驱体供应系统42、净化气体供应系 统44、含氧气体供应系统46、含氮气体供应系统48和辅助气体供应系统50可以包括一个或更多个压力控制装置、一个或更多个流量控制装置、一个或更多个过滤器、一个或更多个阀和/或一个或更多个流量传感器。流量控制装置可以包括气动阀、电机械(电磁)阀和/或高速率脉冲气体注入阀。根据本发明的实施方式,气体可以连续输送和交替地脉冲输送至处理室10中,其中每一气体脉冲的长度例如可以介于约0.1秒和约100秒之间。或者,每一气体脉冲的长度可以介于约1秒和约10秒之间。前驱体气体用的示例性气体脉冲长度可以介于0.3和3秒之间,例如为1秒。含氧气体、含氮气体以及含氧和氮气体用的示例性气体脉冲长度可以介于0.3和3秒之间,例如为1秒。示例性的净化气体脉冲可以介于1和20秒之间,例如为3秒。示例性的脉冲气体注入系统在未决的美国申请No.2004/0123803中有更详细的描述。 
仍参考图6A,控制器70包括微处理器、存储器和数字I/O端口,能够产生足以传递和激活处理系统1的输入并监视来自处理系统1的输出的控制电压。此外,控制器70可以连接到下列单元并可以与之交换信息:处理室10、衬底支架20、上部组件30、第一前驱体供应系统40、第二前驱体供应系统42、净化气体供应系统44、含氧气体供应系统46、含氮气体供应系统48、辅助气体供应系统50、衬底温度控制系统60、衬底温度控制系统60和压力控制系统32。例如,可以使用存储在存贮器中的程序根据处理程序来激活向上述处理系统1的组件的输入从而进行沉积过程。 
图6B描述了等离子体处理系统2,其可以被配置为除了能进行ALD或CVD处理之外,还能进行PEALD或PECVD处理。等离子体处理系统2与图6A中描述的处理系统1类似,但还包括被配置用于在处理室10中至少部分气体暴露期间产生等离子体的等离子体生成系统。这允许从含有O2、H2O、H2O2及其组合的含氧气体中形成臭氧和等离子体激发的氧。类似地,可以从含有N2、NH3或N2H4或C1-C10烷基肼化合物或其组合的含氮气体中形成等离子体激发的氮。 
此外,等离子体激发的氧和氮可以从含有NO、NO2和N2O或其组合的工艺气体中形成。等离子体生成系统包括第一功率源52,第一功率源 52被连接到处理室10上,并被配置用于将功率耦合到引入处理室10的气体中。第一功率52可以是可变功率源并且可以包括射频(RF)发生器和阻抗匹配网络,并且还可以包括电极,RF功率通过该电极耦合到处理室10中的等离子体。该电极可以在上部组件31中形成,并且可以被配置用于衬底支架20相对。阻抗匹配网络可以被配置为优化从RF发生器到等离子体的RF功率的传输,这是通过将匹配网络的输出阻抗与处理室(包括电极和等离子体)的输入阻抗相匹配而实现的。例如,阻抗匹配网络通过降低反射功率以改善处理室10中的RF功率向等离子体的传递。匹配网络拓扑(例如L-型、π-型、T-型等)和自动控制方法对于本领域普通技术人员来说是公知的。 
或者,第一功率源52可以包括RF发生器和阻抗匹配网络,并且还可以包括天线(例如感应线圈),RF功率通过该天线耦合到处理室10中的等离子体。该天线可以例如:在诱导耦合至等离子体源或螺旋波源中时包括螺旋线圈或螺管线圈,在变压器耦合到等离子体源时包括平面线圈。 
或者,第一功率源52可以包括微波频率发生器,并且还可以包括微波天线和微波窗口,RF功率通过这些耦合到处理室10中的等离子体。微波功率的耦合可以通过使用电子回旋共振(ECR)技术实现,或者可以通过使用表面波等离子体技术实现,例如美国专利No.5,024,716中描述的平板裂缝天线(SPA),其全部内容通过引用结合于此。 
根据本发明的一种实施方式,等离子体处理系统2包括衬底偏压生成系统,其被配置用于在至少部分气体被交替引入处理室10期间生成或帮助生成等离子体(通过衬底支架偏压)。衬底偏压系统可以包括被连接到处理室10并且被配置用于使功率耦合到衬底22的衬底功率源54。衬底功率源54可以包括RF发生器和阻抗匹配网络,并且还可以包括电极,RF功率通过该电极耦合到衬底22。该电极可以在衬底支架20中形成。例如,衬底支架20可以经由从RF发生器(未示出)通过阻抗匹配网络(未示出)发送到衬底支架20的RF功率被电偏压至某一RF电压。RF偏压的典型频率可以在约0.1MHZ到约100MHz的范围内,并且可以为13.56MHz。等离子体处理用的RF偏压系统对于本领域普通技术人员来说是公 知的。或者,RF功率可以在多个频率下被施加在衬底支架的电极上。尽管图6B中描绘的等离子体发生系统和衬底偏压系统作为独立的个体,但是它们实际可以包括一个或更多个连接到衬底支架20的功率源。 
此外,等离子体处理系统2包括远程等离子体系统56,用于在使等离子体激发的气体流入处理室10(在其中进行衬底22的暴露)之前提供含氧气体、含氮气体或其组合并远程等离子体激发这些气体。远程等离子体系统56可以例如包括微波频率发生器。处理室的压力可以介于约0.1Torr和约10Torr之间,或者介于约0.2Torr和约3Torr之间。 
已经在多个实施方式中公开了用于形成具有降低的等效氧化物厚度的栅极电介质叠层的多种实施方式。前面本发明实施方法的描述是出于说明和描述目的。其并不意图是穷举性的,或者将本发明限制于所公开的精准形式。此说明书和随后的权利要求书包括仅用于说明目的而非构造为限制的术语。例如,如本文(包括在权利要求书中)使用的术语“上”并不要求衬底“上”的膜直接位于衬底上并与衬底直接接触;在所述膜与所述衬底之间可以具有第二膜或其他结构。 
本领域的技术人员可以理解的是,在以上教导的情况下,可以进行许多修改和变化。本领域的技术人员将认识到如图所示的各种组件的各种等同组合和替代物。因此意图在于,本发明的范围不受此具体说明的限制,而受所附权利要求的限制。 

Claims (20)

1.一种用于形成栅极电介质膜叠层的方法,所述方法包括:
提供含硅的衬底;
在所述含硅衬底上形成界面层,其中所述界面层具有第一等效氧化物厚度;
将第一高k膜沉积在所述界面层上;
在消耗整个所述第一高k膜以形成具有等于或低于所述第一等效氧化物厚度的第二等效氧化物厚度的改性界面层的温度下对所述第一高k膜和所述界面层进行热处理;并且
将第二高k膜直接沉积在所述改性界面层上。
2.根据权利要求1所述的方法,其中,所述界面层包含氧化硅、氮化硅或氮氧化硅。
3.根据权利要求1所述的方法,其中,所述第一高k膜包含碱土金属元素、钛、铪、锆或稀土元素或其中两种或更多种的任意组合。
4.根据权利要求1所述的方法,其中,所述第二高k膜包含碱土金属元素、钛、铪或稀土元素或其中两种或更多种的任意组合。
5.根据权利要求1所述的方法,其中,所述第一高k膜包含含有钇(Y)、镥(Lu)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)或镱(Yb)的稀土基氧化物、氮化物或氧氮化物或其中两种或更多种的任意组合。
6.根据权利要求1所述的方法,其中,所述第二高k膜包含氧化铪、氮氧化铪、硅酸铪、氮氧硅化铪、氧化锆、氮氧化锆、硅酸锆、氮氧硅化锆、氧化铪锆、氮氧化铪锆、硅酸铪锆或者氮氧硅化铪锆或其中两种或更多种的组合。
7.根据权利要求1所述的方法,其中,所述热处理包括:在无氧、减压条件的所述温度下加热所述第一高k膜和所述界面层。
8.根据权利要求7所述的方法,其中,所述热处理还包括将所述第一高k膜暴露于惰性气体中。
9.根据权利要求1所述的方法,其中,所述方法还包括:
使至少部分厚度的所述第二高k膜氮化;
将栅电极膜沉积在所述氮化的第二高k膜上;并且
使所述栅电极膜、所述氮化的第二高k膜和所述改性界面层图案化。
10.一种用于形成栅极电介质叠层的方法,所述方法包括:
提供含硅的衬底;
在所述含硅衬底上形成氧化硅界面层,其中所述氧化硅界面层具有第一等效氧化物厚度;
将稀土氧化物第一高k膜沉积在所述氧化硅界面层上;
将所述稀土氧化物第一高k膜和所述氧化硅界面层热处理至消耗整个所述稀土氧化物第一高k膜以形成具有等于或低于所述第一等效氧化物厚度的第二等效氧化物厚度的改性界面层的温度;并且
将铪-氧基第二高k膜直接沉积在所述改性界面层上。
11.根据权利要求10所述的方法,其中,所述稀土氧化物第一高k膜包含钇(Y)、镥(Lu)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)或镱(Yb)或其中两种或更多种的任意组合。
12.根据权利要求10所述的方法,其中,所述铪-氧基第二高k膜包含氧化铪、氮氧化铪、硅酸铪、氮氧硅化铪、氧化铪锆、氮氧化铪锆、硅酸铪锆或者氮氧硅化铪锆或其中两种或更多种的组合。
13.根据权利要求10所述的方法,其中,所述热处理包括:在无氧、减压条件的所述温度下加热所述稀土氧化物第一高k膜和所述氧化硅界面层。
14.根据权利要求13所述的方法,其中,所述热处理还包括将所述稀土氧化物第一高k膜暴露于惰性气体中。
15.根据权利要求10所述的方法,其中,所述方法还包括:
使至少部分厚度的所述铪-氧基第二高k膜氮化;
将栅电极膜沉积在所述氮化的铪-氧基第二高k膜上;并且
使所述栅电极膜、所述氮化的铪-氧基第二高k膜和所述改性界面层图案化。
16.一种用于形成栅极电介质叠层的方法,所述方法包括:
提供含硅的衬底;
在所述含硅衬底上形成氧化硅界面层,其中所述氧化硅界面层具有第一等效氧化物厚度;
通过原子层沉积法将氧化镧第一高k膜沉积在所述氧化硅界面层上;
将所述氧化镧第一高k膜和所述氧化硅界面层热处理至等于或高于900℃的温度从而消耗整个氧化镧第一高k膜以形成具有等于或低于所述第一等效氧化物厚度的第二等效氧化物厚度的改性界面层;并且
将第二高k膜直接沉积在所述改性界面层上。
17.根据权利要求16所述的方法,其中,所述热处理在无氧、减压的条件下进行。
18.根据权利要求16所述的方法,其中,所述热处理在氧气含量小于0.1%的惰性气氛中进行。
19.根据权利要求16所述的方法,其中,所述第二高k膜包含氧化铪、氮氧化铪、硅酸铪、氮氧硅化铪、氧化锆、氮氧化锆、硅酸锆、氮氧硅化锆、氧化铪锆、氮氧化铪锆、硅酸铪锆或氮氧硅化铪锆或其中两种或更多种的组合。
20.根据权利要求16所述的方法,其中,所述方法还包括:
使至少部分厚度的所述第二高k膜氮化;
将氮化钛栅电极膜沉积在所述氮化的第二高k膜上;并且
使所述氮化钛栅电极膜、所述氮化的第二高k膜和所述改性界面层图案化。
CN201080013932.XA 2009-03-26 2010-03-25 形成具有降低的等效氧化物厚度的高k栅极叠层的方法 Expired - Fee Related CN102365721B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16383209P 2009-03-26 2009-03-26
US61/163,832 2009-03-26
US12/719,690 US8313994B2 (en) 2009-03-26 2010-03-08 Method for forming a high-K gate stack with reduced effective oxide thickness
US12/719,690 2010-03-08
PCT/US2010/028597 WO2010111453A1 (en) 2009-03-26 2010-03-25 Method for forming a high-k gate stack with reduced effective oxide thickness

Publications (2)

Publication Number Publication Date
CN102365721A CN102365721A (zh) 2012-02-29
CN102365721B true CN102365721B (zh) 2014-04-02

Family

ID=42781492

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080013932.XA Expired - Fee Related CN102365721B (zh) 2009-03-26 2010-03-25 形成具有降低的等效氧化物厚度的高k栅极叠层的方法

Country Status (7)

Country Link
US (1) US8313994B2 (zh)
JP (1) JP5626925B2 (zh)
KR (1) KR101639464B1 (zh)
CN (1) CN102365721B (zh)
DE (1) DE112010001364B4 (zh)
TW (1) TWI430368B (zh)
WO (1) WO2010111453A1 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8343865B2 (en) * 2010-01-21 2013-01-01 Renesas Electronics Corporation Semiconductor device having dual work function metal
US8722548B2 (en) * 2010-09-24 2014-05-13 International Business Machines Corporation Structures and techniques for atomic layer deposition
US8691638B2 (en) * 2010-12-10 2014-04-08 Globalfoundries Singapore Pte. Ltd. High-K metal gate device
CN102810468B (zh) * 2011-06-03 2015-02-18 中国科学院微电子研究所 一种高k栅介质界面优化方法
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
CN103377874A (zh) * 2012-04-23 2013-10-30 南亚科技股份有限公司 硅酸铪氮氧化合物制作方法
TWI560774B (en) * 2012-07-24 2016-12-01 United Microelectronics Corp Silicon dioxide layer fabricating process
US9343291B2 (en) * 2013-05-15 2016-05-17 Tokyo Electron Limited Method for forming an interfacial layer on a semiconductor using hydrogen plasma
KR102315333B1 (ko) 2015-02-04 2021-10-19 삼성전자주식회사 회로 디자인 시스템 및 이를 이용한 반도체 회로
WO2016157371A1 (ja) * 2015-03-30 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
KR102376503B1 (ko) 2015-04-23 2022-03-18 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US10177185B2 (en) 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
WO2017210518A1 (en) * 2016-06-03 2017-12-07 Entegris, Inc. Vapor phase etching of hafnia and zirconia
CN106206321A (zh) * 2016-08-19 2016-12-07 上海华力微电子有限公司 半导体器件的制备方法
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
KR102238444B1 (ko) 2017-03-13 2021-04-09 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
JP6941346B2 (ja) * 2017-04-13 2021-09-29 国立研究開発法人物質・材料研究機構 Mis型半導体装置およびその製造方法
US10629749B2 (en) * 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
WO2020023837A1 (en) * 2018-07-26 2020-01-30 Tokyo Electron Limited Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
US11062900B2 (en) * 2018-12-04 2021-07-13 Applied Materials, Inc. Method of reducing effective oxide thickness in a semiconductor structure
US11271097B2 (en) * 2019-11-01 2022-03-08 Applied Materials, Inc. Cap oxidation for FinFET formation
TWI777179B (zh) * 2020-06-20 2022-09-11 聯華電子股份有限公司 閘極介電層的製作方法
KR20220027026A (ko) * 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4646424A (en) * 1985-08-02 1987-03-03 General Electric Company Deposition and hardening of titanium gate electrode material for use in inverted thin film field effect transistors
CN101207032A (zh) * 2006-12-19 2008-06-25 东部高科股份有限公司 半导体器件

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
ATE489726T1 (de) * 2000-09-19 2010-12-15 Mattson Tech Inc Verfahren zur ausbildung dielektrischer filme
EP1361605A4 (en) 2001-01-22 2006-02-15 Tokyo Electron Ltd METHOD FOR PRODUCING MATERIAL OF AN ELECTRONIC COMPONENT
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
SG152910A1 (en) 2001-12-07 2009-06-29 Tokyo Electron Ltd Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
JP4643884B2 (ja) * 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6989573B2 (en) * 2003-10-10 2006-01-24 Micron Technology, Inc. Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
JPWO2005038929A1 (ja) * 2003-10-15 2007-02-08 日本電気株式会社 半導体装置の製造方法
JP2006054391A (ja) * 2004-08-16 2006-02-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2008072001A (ja) * 2006-09-15 2008-03-27 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080150009A1 (en) * 2006-12-20 2008-06-26 Nanosys, Inc. Electron Blocking Layers for Electronic Devices
US20080150004A1 (en) * 2006-12-20 2008-06-26 Nanosys, Inc. Electron Blocking Layers for Electronic Devices
EP1944794A1 (en) * 2007-01-10 2008-07-16 Interuniversitair Microelektronica Centrum (IMEC) A method for controlled formation of a gate dielectric stack
JP5103056B2 (ja) * 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2008306051A (ja) * 2007-06-08 2008-12-18 Rohm Co Ltd 半導体装置およびその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4646424A (en) * 1985-08-02 1987-03-03 General Electric Company Deposition and hardening of titanium gate electrode material for use in inverted thin film field effect transistors
CN101207032A (zh) * 2006-12-19 2008-06-25 东部高科股份有限公司 半导体器件

Also Published As

Publication number Publication date
DE112010001364B4 (de) 2013-09-12
KR20110123809A (ko) 2011-11-15
DE112010001364T5 (de) 2012-10-11
TWI430368B (zh) 2014-03-11
KR101639464B1 (ko) 2016-07-13
US8313994B2 (en) 2012-11-20
US20100248464A1 (en) 2010-09-30
JP5626925B2 (ja) 2014-11-19
CN102365721A (zh) 2012-02-29
TW201041037A (en) 2010-11-16
JP2012522379A (ja) 2012-09-20
WO2010111453A1 (en) 2010-09-30

Similar Documents

Publication Publication Date Title
CN102365721B (zh) 形成具有降低的等效氧化物厚度的高k栅极叠层的方法
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
TWI809158B (zh) 針對半導體元件形成晶體穩定的鐵電性鉿鋯基膜的方法
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
US20100062592A1 (en) Method for forming gate spacers for semiconductor devices
US7964515B2 (en) Method of forming high-dielectric constant films for semiconductor devices
US8440520B2 (en) Diffused cap layers for modifying high-k gate dielectrics and interface layers
US7741202B2 (en) Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer
US7790628B2 (en) Method of forming high dielectric constant films using a plurality of oxidation sources
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
CN102575344B (zh) 含金属‑硅膜的脉冲化学气相沉积
US20110165328A1 (en) Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US8865538B2 (en) Method of integrating buried threshold voltage adjustment layers for CMOS processing
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
US7816737B2 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
WO2008042695A2 (en) Semiconductor devices containing nitrided high dielectric constant films and method of forming

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140402

Termination date: 20180325