CN102227659B - 超高多路分析系统和方法 - Google Patents

超高多路分析系统和方法 Download PDF

Info

Publication number
CN102227659B
CN102227659B CN200980148101.0A CN200980148101A CN102227659B CN 102227659 B CN102227659 B CN 102227659B CN 200980148101 A CN200980148101 A CN 200980148101A CN 102227659 B CN102227659 B CN 102227659B
Authority
CN
China
Prior art keywords
array
micromirror
substrate
light
mode waveguide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980148101.0A
Other languages
English (en)
Other versions
CN102227659A (zh
Inventor
D·扎卡里
P·伦奎斯特
P·赵
钟诚
S·特纳
黄雁樵
P·莫纳德杰米
R·萨克希纳
A·格罗特
A·鲁利森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Pacific Biosciences of California Inc
Original Assignee
Pacific Biosciences of California Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Pacific Biosciences of California Inc filed Critical Pacific Biosciences of California Inc
Publication of CN102227659A publication Critical patent/CN102227659A/zh
Application granted granted Critical
Publication of CN102227659B publication Critical patent/CN102227659B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • G01N21/6452Individual samples arranged in a regular 2D-array, e.g. multiwell plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/002Processes for applying liquids or other fluent materials the substrate being rotated
    • B05D1/005Spin coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/251Colorimeters; Construction thereof
    • G01N21/253Colorimeters; Construction thereof for batch operation, i.e. multisample apparatus
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12002Three-dimensional structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/131Integrated optical circuits characterised by the manufacturing method by using epitaxial growth
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/132Integrated optical circuits characterised by the manufacturing method by deposition of thin films
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12104Mirror; Reflectors or the like
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12164Multiplexing; Demultiplexing
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12197Grinding; Polishing

Abstract

揭示了用于超高多路分析分立的反应的设备、系统和方法,同时降低了光学噪声并增加了系统灵活性。这种设备包括具有集成光学元件的基片,通过增加反应区域密度、改善光线到分立的反应区域的透射或改善来自分立的反应区域的光线的收集中的一种或多种来增加多路分析能力。集成光学元件包括反射光学元件,用于使照射光线和从分立的区域发出的光线转向从而更有效地收集所发出的光线。尤其优选的应用包括单分子反应分析,例如聚合酶介导的模板依赖性核酸合成和序列测定。

Description

超高多路分析系统和方法
相关申请的交叉引用
本申请要求由2008年9月30日提交的美国专利申请号61/101,555和2009年7月7日提交的美国临时专利申请号61/223,628的优先权,该两份申请的全部内容出于所有目的通过引用全文纳入本文。
关于联邦资助研究的声明
不适用。
发明背景
在分析系统中,能增加给定系统在任何给定时间进行的分析数对于增加此类系统的实用性和延长其使用期限至关重要。具体地说,通过增加给定系统的分析多路因子,能提高该系统的总通量,从而提高其可用性,同时降低使用相关的成本。
在光学分析中,提高多路性常造成很多困难,因为可能需要复杂的光学系统,增强照射或检测性能和新型反应限制策略。在一些情况下,系统需要将多路性提高数倍,甚至几个数量级,如此进一步牵涉这些顾虑。类似地,在某些情况中,应用此类系统的分析环境高度敏感,可能不能容忍给定系统中不同分析之间的改变。这些目标常与简单地将系统做得大些和功率强一些的简单粗暴方法相左,因为此类步骤常产生甚至更大的结果,例如反应间串扰、信号降低和/或噪声较高导致的信噪比降低,等等。因此,提供所需分析的多路性实质性提高的分析系统,特别是用于高灵敏度反应分析,在许多情况中,做到这点同时最大程度降低此类多路性增加的负面影响是理想的。本发明符合这些和各种其它需求。
发明简述
本发明提供极高多路分析分析基片上的反应区域的方法、基片和系统,特别是实施高灵敏度、产信号低的反应,例如单分子荧光反应,如用于单分子实施核酸测序技术中的多路系统。
本发明一方面是产生包含微型镜阵列的基片的方法,其中各微型镜与零模式波导相连,所述方法包括:a)提供具有顶表面的透明基片;b)使该透明基片形成图案并作蚀刻以形成具有顶部和侧部的突起阵列;c)沉积包覆金属以使所述突起的顶部包含包覆层;d)通过该包覆层形成孔隙阵列以使各突起的顶部包含孔隙;和e)沉积反射沉积材料以使各突起的侧部包含反射层;藉此该突起阵列包含微型镜阵列,而各突起顶部的孔隙包含零模式波导。
在一些实施方式中,使透明基片形成图案并作蚀刻的步骤b)在沉积包覆材料和形成孔隙阵列的步骤c)和d)之后进行。在一些实施方式中,沉积包覆材料和形成孔隙阵列的步骤c)和d)在使透明基片形成图案并作蚀刻的步骤b)之后进行。
在一些实施方式中,透明基片包括依据二氧化硅的材料。在一些实施方式中,透明基片包括熔凝二氧化硅。在一些实施方式中,包覆材料包括铝。在一些实施方式中,反射沉积材料包括铝。
在一些实施方式中,蚀刻透明基片包括活性离子蚀刻处理过程。在一些实施方式中,突起包括圆锥形、角锥形或抛物面形。在一些实施方式中,突起包括截短的圆锥形。在一些实施方式中,截短圆锥顶部的直径介于1微米和10微米之间。在一些实施方式中,基片上的突起数介于1,000和1,000,000之间。在一些实施方式中,基片上的突起数介于10,000-500,000之间。
本发明一方面是包括以下步骤的方法:a)提供具有顶表面的透明基片,在该顶表面上沉积了具有孔隙阵列的金属包覆层;b)在该包覆层上沉积第一抗蚀层;c)使该抗蚀层形成图案以产生剩余抗蚀层的区域阵列,剩余抗蚀层的各区域包含含有孔隙的金属包覆层区域;d)蚀刻以除去包覆层和透明基片的诸区域,藉此形成突起阵列,藉此包含金属包覆层诸区域的剩余抗蚀层诸区域位于突起的顶部;e)沉积金属沉积层,藉此金属沉积层与突起顶部的金属包覆层诸区域接触;f)沉积第二抗蚀层;g)使该第二抗蚀层形成图案以露出突起顶部的金属沉积层诸区域;和h)处理来自步骤(g)的结构藉此以除去金属沉积层的露出区域。
本发明一方面是包括以下步骤的方法:a)提供具有顶表面的透明基片,在该顶表面上沉积了具有孔隙阵列的金属包覆层;b)在该金属包覆层上沉积保护涂层;c)在该保护层上沉积第一抗蚀层;d)使第一抗蚀层形成图案以产生剩余耐受层的区域阵列,剩余抗蚀层的各区域包含含有孔隙的金属包覆层区域;e)蚀刻以除去保护层、包覆层和透明基片的诸区域,藉此形成突起阵列,藉此包含金属包覆层诸区域的剩余抗蚀层诸区域位于突起的顶部;f)除去第一抗蚀层;g)沉积金属沉积层,藉此金属沉积层与突起顶部的金属包覆层诸区域接触;h)沉积第二抗蚀层;i)使该第二抗蚀层形成图案以露出突起顶部的金属沉积层诸区域;和j)处理来自步骤(i)的结构藉此除去金属沉积层的露出区域和除去保护涂层。
本发明一方面是包括以下步骤的方法:a)提供具有顶表面的透明基片,在该顶表面上沉积了具有孔隙阵列的金属包覆层;b)在该金属包覆层上沉积牺牲层;c)在该牺牲层上沉积第一抗蚀层;d)使第一抗蚀层形成图案以产生剩余抗蚀层的区域阵列,剩余抗蚀层的各区域包含含有孔隙的金属包覆层区域;e)蚀刻以除去牺牲层、包覆层和透明基片的诸区域,藉此形成突起阵列,藉此包含金属包覆层诸区域的剩余抗蚀层诸区域位于突起的顶部;f)除去第一抗蚀层;g)处理该基片以向后拉牺牲层;h)沉积金属沉积层,藉此金属沉积层与突起顶部的金属包覆层诸区域接触;i)处理来自步骤(h)的结构以释放牺牲层,藉此除去牺牲层上金属沉积层的诸部分并露出孔隙。在一些实施方式中,牺牲层包含锗或硅。
本发明一方面是包括以下步骤的方法:a)提供具有顶表面的透明基片,在该顶表面上沉积了具有孔隙阵列的金属包覆层;b)在该金属包覆层上沉积保护层;c)在该保护层上沉积第一抗蚀层;d)使第一抗蚀层形成图案以产生剩余抗蚀层的区域阵列,剩余抗蚀层的各区域包含含有孔隙的金属包覆层区域;e)蚀刻以除去保护层、包覆层和透明基片的诸区域,藉此形成突起阵列,藉此包含金属包覆层诸区域的剩余抗蚀层诸区域位于突起的顶部;f)除去第一抗蚀层和保护层;g)在突起的顶部产生支柱阵列,至少部分覆盖金属包覆层的诸区域;h)沉积金属沉积层,藉此金属沉积层与突起顶部的金属包覆层诸区域接触;和i)处理来自步骤(h)的结构以除去支柱阵列,藉此除去金属沉积层的一部分。
本发明一方面是包括以下步骤的方法:a)提供具有顶表面的透明基片;b)在该透明基片上沉积第一抗蚀层;c)使第一抗蚀层形成图案以产生剩余抗蚀层的区域阵列;d)蚀刻以除去透明基片的诸区域,藉此形成突起阵列,藉此剩余抗蚀层诸区域位于突起的顶部;e)除去第一抗蚀层;f)在该透明基片上沉积金属沉积层;g)在该金属沉积层上沉积硬涂层;h)打磨该硬涂层的表面以露出对应于突起的顶部的透明基片诸部分;i)沉积金属包覆层;j)在该金属包覆层上沉积抗蚀层;和k)使该抗蚀层形成图案并蚀刻该包覆层以在突起的顶部产生孔隙阵列。
本发明一方面是包括以下步骤的方法:a)在透明基片上沉积牺牲层;b)通过选择性蚀刻该牺牲层产生纳米支柱阵列;c)使该透明基片形成图案并作蚀刻以形成具有顶部和侧部的突起阵列,其中所述纳米支柱沉积在突起顶部;d)在步骤c)产生的结构上沉积金属层;e)在该金属层上沉积平整化层;f)使该平整化层平整化以露出突起顶部的金属层并露出纳米支柱;和g)处理该基片以除去所述纳米支柱,藉此在突起顶部形成孔隙阵列。
在一些实施方式中,所述牺牲层包含锗或硅。在一些实施方式中,所述平整化层包含PECVD氧化物或旋涂玻璃。在一些实施方式中,平整化包括CMP。在一些实施方式中,金属层包含铝。
本发明一方面是包含微型镜阵列的基片,其中各微型镜包含零模式波导。
本发明一方面是沉积在具有顶表面和底表面的透明基片的顶表面的零模式波导阵列,其中该透明基片包含微型镜阵列,其中各微型镜使得从所述零模式波导射出的离开所述透明基片底表面的光线改变方向。
本发明一方面是微型镜阵列,其包含:a)具有上表面的透明基片,该上表面包含元件阵列,其中所述元件的底部和侧部包含反射涂层;和b)通过该反射涂层延伸的孔隙的阵列,其中各元件的顶部包含至少一个孔隙,其中阵列壁上的反射涂层使孔隙阵列射出的光线转向。
在一些实施方式中,所述元件包括抛物面形、圆锥形、角锥形、截短的圆锥形。在一些实施方式中,所述元件包含截短的圆锥。在一些实施方式中,所述元件包含具有顶部的截短圆锥,所述顶部的直径介于约0.5微米-约5微米之间。在一些实施方式中,所述元件包含具有顶部的截短圆锥,所述顶部的直径介于约1.5微米-约4微米之间。在一些实施方式中,所述元件包含具有顶部的截短圆锥,所述顶部的直径介于约2微米-约3微米之间。在一些实施方式中,所述元件包含截短的圆锥,所述圆锥距离垂直的侧壁角度介于5度-40度之间。在一些实施方式中,所述元件包含截短的圆锥,所述圆锥距离垂直的侧壁角度介于10度-30度之间。
本发明一方面是检测零模式波导阵列中分子事件的系统,该系统包含:a)布置在具有顶表面和底表面的透明基片顶表面上的零模式波导阵列,其中所述透明基片包含使得光线转向所述零模式波导的微型镜阵列;b)通过所述透明基片的底表面对所述零模式波导提供照射光束的照射系统;其中将所述系统设置成所述微型镜与所述照射光束相互作用从而所述照射光束经历相长干涉,藉此所述零模式波导处的光强度相对于其它照射区域增加。
本发明一方面是检测零模式波导阵列中分子事件的方法,该方法包括:a)提供布置在具有顶表面和底表面的透明基片顶表面上的零模式波导阵列,其中所述透明基片包含使得光线转向所述零模式波导的微型镜阵列;和b)利用照射光束阵列通过所述透明基片的底表面照射所述零模式波导;其中所述照射光束与所述微型镜相互作用从而所述照射光束经历相长干涉,藉此所述零模式波导处的光强度相对于没有相长干涉的其强度增加。
本发明一方面是分析单分子特性的方法,包括:a)将具有光学特征的单分子置于零模式波导的孔隙内,其中所述零模式波导与微型镜光学偶联;b)用照射光线照射所述零模式波导;c)用检测器收集从所述零模式波导射出的光线,其中所述微型镜使得射出光线中的至少一些转向所述检测器;和d)利用收集到的射出光线测定所述单分子的特性。
在一些实施方式中,所述零模式波导与微型镜各自集成入一块基片。在一些实施方式中,所述基片包含各自与微型镜光学偶联的零模式波导的阵列。
本发明一方面是测定关于模板核酸分子的序列信息的方法,包括:a)将聚合酶、模板核酸分子和引物置于零模式波导内,其中所述零模式波导集成入还包含微型镜的基片,b)提供用于聚合的核苷酸、试剂和辅因子,其中用于聚合的所述核苷酸、试剂和辅因子可接近聚合酶,其中聚合酶、模板核酸分子、引物或核苷酸中的至少一个包含光学标记物;c)提供所述聚合酶通过掺入核苷酸来合成延伸核酸链的条件;d)用照射光线照射所述零模式波导从而与所述光学标记物相互作用;e)用检测器收集从所述零模式波导射出的光线,其中所述微型镜使得射出光线中的至少一些转向所述检测器;和f)利用收集到的射出光线测定关于所述模板核苷酸的序列信息。
本发明一方面是用于观察从基片射出的光线的光学系统,包括:a)其中嵌入了分色元件并具有至少第一面、第二面及第三面的成形光学模块;b)布置成将照射光线通过第一面送入所述光学模块的照射光学元件,其中此类照射光线通过第二面反射离开嵌入的分色元件,到达包含光发射元件的基片上;和c)布置成收集所述光发射元件射出的光线的收集光学元件,其中所述光发射元件射出的光线经过第二面,经过所述分色元件离开第三面并进入所述收集光学元件。
在一些实施方式中,照射光经内部反射离开成形光学模块的面,到达所述分色元件上。在一些实施方式中,所述光线反射离开第二面。在一些实施方式中,第一、第二和第三面基本上是平面。
在一些实施方式中,所述光发射元件包含荧光元件。在一些实施方式中,所述基片包含反应区域阵列,其中至少一些包含光发射元件。在一些实施方式中,所述基片包含ZMW阵列。
在一些实施方式中,所述照射光学元件包含至少一个激光和照射光具组。在一些实施方式中,所述收集光学系统包含使得发射的光线到达检测器的收集光具组。在一些实施方式中,所述光学模块包含折射率介于1.3和2.5之间的材料。在一些实施方式中,光学模块包含二氧化硅或氟化钙。
本发明一方面是光学检测系统,包括:其上布置了多个空间上不同的反应区域的基片;安置在反应区域与光学系统之间的聚焦光学元件以便至少部分校准各反应区域的光学信号;和光学系统,其安置成将激发光线引向布置在所述基片上的多个不同反应区域并接收这些区域发出的光学信号。
在一些实施方式中,聚焦光学元件集成入或连接于所述基片。在一些实施方式中,聚焦光学元件包含集成入基片的多个反射光学元件,各反射光学元件安置成至少部分校准基片上各个不同反应区域发出的光线。在一些实施方式中,反射光学元件选自抛物面镜、圆锥镜、分段圆锥镜(staged conical mirror)、截短圆锥镜、偏抛物面镜(partial parabolic mirror)、梯形镜(trapezoidal mirror)和角锥镜(pyramidal mirror)。在一些实施方式中,聚焦光学元件包含集成入或连接于所述基片的多个透镜元件,所述多个透镜元件各安置成至少部分校准所述基片上的各个不同反应区域的光线。
在一些实施方式中,所述多个空间上不同的反应区域以每cm2至少100,000个不同反应区域的密度布置在所述基片的表面。在一些实施方式中,光学系统设置成将激发光线引向所述基片上至少10,000个不同反应区域并分别接收这些区域的光学信号。在一些实施方式中,所述光学系统设置成将激发光线引向所述基片上至少50,000个不同反应区域并分别接收这些区域的光学信号。在一些实施方式中,所述光学系统设置成将激发光线引向所述基片上至少100,000个不同反应区域并分别接收这些区域的光学信号。
在一些实施方式中,所述光学系统包含安置成收集所述基片上不同反应区域的光学信号的物镜和安置在所述基片和物镜之间的分色镜,所述分色镜能透射来自基片的光信号并反射激发光线,从而所述激发光线基本上不经所述物镜透射。
本发明一方面是分析基片上多个不同反应区域的方法,包括:提供其上具有多个不同反应区域的基片;提供集成入或连接于所述基片的聚焦光学元件,所述聚焦光学元件分别至少部分校准来自各个不同反应区域的光学信号;照射所述多个不同的反应区域以产生所述反应区域中的反应相关的光学信号;和将所述聚焦光学元件校准的光学信号透射至检测器以检测该信号。
本发明一方面是分析系统,其包括:其上布置有多个空间上分立的反应区域的基片;激发照射源;光学检测器阵列;光具组,其包括多路照射路径,而所述路径含有将激发照射源的光束转变成多个照射点的多路光学元件和交替引导照射点对准多个信号源的第一部分和多个反应区域的至少第二部分的切换光学元件;和收集从所述多个反应区域发出的信号并将所述信号引导至所述光学检测器阵列上空间分立位置的信号收集路径。
本发明一方面是分析系统,其包括:其上布置有多个空间上分立的反应区域的基片;激发照射源;光学检测器阵列;光具组,其包括:含有多路光学元件的多路照射路径,所述多路光学元件将激发照射源的光束转变成多个照射点并引导照射点对准所述多个反应区域;和收集从所述多个反应区域发出的信号的信号收集路径,其中所述信号收集路径包含将所述反应区域的第一部分的信号引导至所述光学检测器阵列上诸位置并将所述反应区域的第二部分的信号引导至所述检测器阵列上空间分立区域的第二部分的切换光学元件。
本发明一方面是分析基片上高度多路反应区域的系统,其包括:其上布置有多个分立反应区域的基片;检测所述分立区域相关的光学信号的检测系统,其包括:至少第一和第二检测器;和设置成将所述基片上第一组分立反应区域相关的光学信号引导至第一检测器和将所述基片上第二组分立反应区域相关的光学信号引导至第二检测器的光具组。
本发明一方面是分析基片上多个分立反应区域的感兴趣反应的方法,包括:提供其上布置有第一组反应区域的基片;识别表现出感兴趣反应的小于全部第一反应区域的反应区域子组;仅监测该反应区域子组中的该感兴趣反应。
附图简述
图1A-1B示意性显示了可采用本发明诸方面实施的示范性核酸测序过程。
图2示意性显示了监测基片上分立的照射反应区域的其它照射方案。图2A示意性显示了泛光照射模式。图2B示意性显示了照射的扫描方案。图2C示意性显示了线形照射模式。图2D示意性显示了靶向点阵列照射模式。
图3示意性显示了对基片上分立的反应区域进行共焦多路照射和监测的系统。
图4示意性显示了与高多路系统相关的潜在的“串扰”问题。
图5A、5B和5C示意性显示了利用成形镜作为聚焦光学元件的基片,以便有效地收集来自基片上的反应区域的光线并且有效地照射这些反应区域。图5C示意性显示了反应区域延伸到微型镜中的结构,还显示了像滤光片这样的光学组件被并入到微型镜结构中。
图6A、6B和6C示意性显示了3种备选的圆锥形微反射器(微型镜)结构。
图7示意性显示了具有特定尺寸的截短圆锥形微型镜结构。
图8A-B示意性显示了可采用平版印刷(lithography)和蚀刻等方法产生的微型镜结构。
图9示意性显示了如何通过相长干涉增强照射光密度的微型镜结构。
图10-18示意性显示了生产基片的制造过程,这种基片具有集成的聚焦镜,这些集成的聚焦镜具有相关的反应区域。
图19示意性显示了采用底切方法产生牺牲支柱来产生反应区域的方法。
图20示意性显示了采用多个平版印刷和蚀刻步骤在透明基片上形成成形微型镜结构的方法。
图21示意性显示了具有反应区域阵列和透镜阵列的基片,其中所述透镜使反应区域发出的光线转向。
图22示意性显示了利用大视场(FOV)物镜的照射和检测系统,从而能使用多个中间光学组件。
图23示意性显示了基片上的分立区域的时分多路照射的过程。
图24示意性显示了对基片的分立区域中的反应实施时分多路照射和检测的系统。
图25示意性显示了“检索活性”多路分析的过程。
图26示意性显示了多路照射光具组。
图27A-B示意性显示了用于本发明的系统的额外的多路照射路径。
图28示意性显示了利用多路检测阵列的系统以便用于本发明的高度多路分析系统。
图29A-B示意性显示了利用多个检测阵列的备选系统,其中各阵列接收来自各信号源的信号组分的子集。
图30示意性显示了本发明的成形光学模块。
图31显示了抛物面微反射结构(图31A)和平面零模式波导(图31B)的SEM图像。
图32显示了对圆锥形微反射器进行建模所使用的尺寸分量。
图33显示了用于对分段圆锥形微反射器进行建模所使用的尺寸分量。
图34显示了在熔凝二氧化硅基片中形成的微型镜顶部的反应区域阵列的SEM图像。
图35显示了在其顶部具有反应区域的微型镜结构的SEM图像。
图36显示了在微型镜结构顶部的反应区域的SEM图像。
图37显示了在熔凝二氧化硅基片中形成的圆锥形微型镜结构的SEM图像。
图38显示了在熔凝二氧化硅基片中形成的圆锥形微型镜结构的SEM图像。
图39显示了在平整化层内并在其顶部具有反应区域的微型镜结构截面的SEM图像。
图40显示了在其顶部具有反应区域的微型镜结构的SEM图像。
图41示意性显示了用于检测来自微型镜结构的增益的实验装置。
图42显示了从微型镜结构上的零模式波导反应区域中获得的双色DNA测序数据。
发明详述
I.多路分析系统
多路光学分析系统用于各种不同领域。此类领域可包括分析单分子,包括,例如在进行反应时实时观察单个生物分子。为便于讨论,本文根据优选领域讨论此类多路系统:分析核酸序列信息,特别是单分子核酸序列分析。虽然根据特定领域作了描述,但应理解本发明系统有更广泛的应用领域。
就单分子核酸测序分析而言,观察一种固定化核酸合成复合物以便在各核苷酸掺入延伸的引物序列时识别它们,所述复合物包含聚合酶、要阐明其序列的模板核酸、与模板序列的一部分互补的引物序列。一般在核苷酸掺入之前、期间或之后观察其上光学上可检测的标记物来监测掺入情况。在一些情况中,此类单分子分析采用“一次一碱基方法”,从而一次将一类标记的核苷酸引入复合物并与该复合物接触。掺入后,从复合物上洗去未掺入的核苷酸,检测作为固定化复合物一部分的标记掺入核苷酸。
在一些情况中,仅仅加入单一类型的核苷酸以检测掺入情况。然后这些方法需要通过各种不同类型核苷酸(例如,A、T、G和C)的循环,从而能测定模板的序列。由于在任何给定时间仅有一类核苷酸接触复合物,任何掺入事件限定为所接触核苷酸的掺入事件。虽然这些方法有一定效果,但通常在模板序列包含多个重复的核苷酸时有问题,因为可能掺入无法与单一掺入事件相区分的多个碱基。在一些情况中,对该问题所提出的解决方案包括调整核苷酸浓度以确保动力学上有利于单一掺入事件。
在其它情况中,同时加入多类核苷酸,但可通过各类核苷酸上存在的不同光学标记物作出区分。因此,此类方法可采用单一步骤以识别序列中给定的碱基。具体地说,将各携带可区分标记物的所有4种核苷酸加入固定的复合物。然后检测该复合物以识别掺入何类碱基,以及由此的模板序列中下一碱基。
在一些情况中,这些方法一次仅监测一种碱基的加入,因此,它们(以及在一些情况中,单一核苷酸接触方法)需要额外的对照以避免在任何给定步骤中加入多个碱基,从而被检测系统所遗漏。此类方法通常利用核苷酸上的终止基团以防一个核苷酸掺入后引物的进一步延伸。这些终止基团通常是可除去的,从而允许检测掺入事件后受控的再延伸。类似地,为避免以前掺入的核苷酸的混淆标记物,通常将这些核苷酸上的标记基团设计成可除去或可灭活的。
在另一方法中,实时监测单分子引物延伸反应以识别延伸产物中核苷酸的持续掺入,从而阐明潜在的模板序列。在此类单分子实时(或SMRTTM)测序中,在聚合酶介导的模板依赖性引物延伸反应发生时监测其中的核苷酸掺入过程。在优选的方面,在光学限定的区域,例如零模式波导内或邻近透明基片的表面、光学波导等处提供通常固定的模板/聚合酶引物复合物(参见,例如美国专利号6,917,726和7,170,050和公布的美国专利申请号2007-0134128,其全部内容出于所有目的通过引用纳入本文)。用待使用的荧光标记核苷酸的合适激发射线照射光学限定的区域。由于复合物在光学限定区域内,或具有极小的照射体积,只有紧紧围绕该复合物的反应体积经历激发照射。因此,那些,例如在掺入事件期间与复合物相互作用的荧光标记核苷酸在照射体积内存在足够的时间从而能将它们识别成掺入的。该测序方法的示意性描述见图1。如图1A所示,在,例如零模式波导106的光学限定观察体积(如虚线104所示)内提供聚合酶、模板核酸和引物序列的固定复合物102。随着合适的核苷酸类似物,例如核苷酸108掺入新生核酸链,对其照射对应于掺入期间经标记核苷酸类似物在观察体积内的保留时间的延长时间,从而产生与该保留相关的信号,例如信号脉冲112,如图1B的A曲线所示。一旦掺入,连接于经标记核苷酸类似物的聚磷酸组分的标记物释放。当下一合适的核苷酸类似物,例如核苷酸110接触复合物时,它也掺入,从而在图1B的T曲线中产生相应信号114。如模板序列的潜在互补性决定的,通过监测碱基掺入新生链能获得模板的序列信息的长延伸段。此外,为获得广泛应用遗传序列,例如研究和诊断中所需的序列信息容量,需要较高通量的系统。
例如,为增强系统的序列通量,通常监测多个复合物,其中各复合物测序单独的模板序列。在测序或其它大型DNA组分的基因组测序的情况中,这些模板通常包含基因组DNA的重叠片段。通过测序各片段,可组装片段的重叠序列数据的毗连序列。在优选的方面,各种不同复合物在基片上形成阵列。此类形成阵列的复合物可在光学或结构限定的结构,例如零模式波导内提供,或者它们可在表面形成图案。或者,它们可随机布置在表面上,但经历靶向阵列照射或检测,从而仅监测表面上阵列图案内的复合物。出于讨论的目的,本文将两种设置均称为监测形成阵列的复合物,或类似名称。
概述
以前已采用许多不同的机制实现了分立反应区域的多路分析,和甚至同时多路分析。许多此类方法示于图2。例如,在简单的实施方式中,用覆盖大量此类分立区域的宽照射束同时照射分立反应区域的阵列,其称为“泛光”照射。其示于图2A,其中实线圆圈204表示布置在基片202上有待监测的反应区或者其它区域,虚线206显示了照射区域的边界。如图所示,单一照射区域应用于大量或可能所有的分立区域而不作区分。
通过用一种统一的束或斑点照射较大量的反应区域,根据给定基片上反应区域的密度,此类泛光照射可包括利用常规激光束或者在一些情况中,可利用束扩张光学元件,以提供所需多路性。虽然能有效照射基片上布置了大量反应区域的广泛面积,但泛光照射的问题可能在于照射面积的照射强度不一致。具体地说,在给定光束的中心照射强度更大,在边缘降低。因此,不同反应区域通常根据它们在照射斑点中所处位置而经历不同照射。此外,由于照射了对应于斑点的完整基片面积,可能导致所施加射线的使用不充分,例如照射非反应区域的无用光线,因此,要求施加的射线高于所需。此外,此类泛光照射可存在过分照射的不利作用,例如过度能力消耗、发射激发光线、基片以及该系统的其它光学组件的自身荧光、发热,等等。
在其它方法中,照射束在基片上扫描以便照射该基片上的多个反应位点或区域,如图2B所示。具体地说,如箭头所示,较小的照射斑点208在基片202的表面反复运动以单独照射各反应区域,例如区域204。此类扫描系统通常利用常规扫描系统,例如检流计、旋镜(rotating mirror)或旋棱镜(rotating prism)以引导光束随时间穿过基片表面。虽然此类扫描系统对于静态系统特别有效,例如试图分析反应终点时,但它们尤其不可用于随时间监测反应近程,除非在极慢反应的情况中。具体地说,由于照射束对各位置的检测仅是随机而短时的,不能实时照射和监测发生相当快速反应的反应区域。
在其它方法中,采用靶向照射分布优先同时照射多个反应位点。例如,在一个靶向照射方法中,线性化照射束以提供能同时照射基片上多个分立的共线区域的照射线(参见,例如出于所有目的通过引用全文纳入本文的国际专利申请号US2007/003570和US2007/003804),如图2C所示。利用多个光束,或者在线性化之前或之后分裂单一光束,例如使光束通过衍射光栅,能产生多个平行照射线,例如照射线210,以照射基片上的多行共线反应区域。此类靶向照射由于不照射基片上照射线之间的空间而减少无用照射,因此减少了前述此类过度照射相关的许多问题。然而,共线反应区域之间的空间,例如给定行内的空间仍得到照射,产生了相伴的无用照射和噪声增加的问题。
在进一步的改进中,靶向照射分布采用形成阵列的照射斑点,例如各照射子集或一个分立反应区域的照射斑点212,如图2D所示。如此通过仅照射需要照射的空间,例如反应区域和/或其周围而进一步提高了信噪比,相比于线性照射分布增加了照射效率。可采用多种光学配置产生这些类型的靶向照射分布,包括,例如利用将各照射束聚焦于多个形成阵列的照射斑点的透镜阵列,正交定向的衍射光栅,其先将单一光束分成一行多个光束,再将这些光束各自分成正交定向的额外光束行,将单一光束转变成各种不同靶向照射分布的衍射光学元件,包括,例如基片上照射斑点的栅格阵列(参见,例如2008年5月9日提交的美国专利申请号12/151,979和PCT/US2008/05953,各自出于所有目的通过引用全文纳入本文)。
此类系统任何还包括光具组内的共焦或立体滤光片以进一步限制离开系统聚焦平面的反射光线或发出的荧光的影响,例如与反应区域无关的。
此类系统的一个例子示于图3。如图所示,系统300包括反应阵列,例如零模式波导阵列302,其上多个分立的反应区域形成阵列。在零模式波导阵列的情况中,大量零模式波导通常在基片上形成行列式阵列。给定分析的感兴趣反应物在各种ZMW内提供。例如,就通过合成测序核酸而言,给ZMW提供包含模板核酸序列、互补引物序列、核酸聚合酶和引物延伸所需核苷酸或核苷酸类似物的反应混合物的测序复合物(参见,例如图1)。可制造超高密度的ZMW阵列,从而提供1000ZMW/cm2到1,000,000ZMW/cm2或更高。因此,在任何给定的时间,优选在单一分析系统或者甚至在单一基片上分析100、1000、3000、5000、10,000、20,000、50,000、100,000或1百万、1千万或更多个ZMW或其它反应区域中发生的反应。
如图所示,该系统包括激发反应区域中的荧光反应物的激发射线源,例如激光304。光具组306将激光304的激发射线递送至ZMW阵列或基片302。光具组还收集阵列上各种ZMW的荧光信号,将那些信号传送至检测器,例如EMCCD 330。光具组306包括多路传输组件,例如衍射光学元件(DOE)308(也称为全息照相光学元件或HOE),其将单一激发光束转变成靶向对应于阵列302上ZMW位置的照射斑点阵列的大量分立的激发光束。多个光束是选择使阵列302的激发光线通过而反射荧光的分色316。通过分色316之前,照射光束可通过与一对聚焦透镜,例如透镜310和314结合的共焦滤光片312,从而将这些光束通过一个或多个共焦针孔聚焦。通过分色316的激发光线随后经物镜318聚焦于阵列302平面上的靶向图案。
然后物镜318收集阵列302的荧光信号,使之通过分色316,其朝着检测器330反射荧光信号。然后阵列上分立ZMW的信号通过立体滤光片,例如共焦掩模332以减少焦平面自身荧光或散射光的背景噪声,例如光致发光,其通常仍与一对聚焦透镜,例如透镜320和324结合。然后信号通过差别引导不同光谱特征的光线的分散性光学元件,例如楔形棱镜326,从而依据它们所击打的检测器的位置区分不同荧光信号。然后引导差别引导的信号组分通过额外的聚焦光学元件,例如聚焦透镜328,最终击打到EMCCD检测器330上。如上所述,给定信号入射的检测器的位置表明(1)阵列中的起始ZMW,和(2)信号组分的光谱特征,其用于,例如识别在延伸反应中掺入的荧光标记核苷酸类似物的类型。
采用前述系统,描述了同时靶向照射阵列中数千或数万ZMW。然而,随着多路性需求的增加,阵列上ZMW的密度以及能够靶向照射此类阵列的难度增加,例如ZMW串扰(形成阵列的毗邻ZMW的信号彼此混杂)、较高水平的密集照射导致信噪比降低等问题增加。
II.多路方案
虽然以上系统可用于提供足够多路的光学系统,但通过对这些系统作出某些改进而进一步提高这些系统的多路性能仍是理想的。
本发明提供监测基片上大量形成阵列的复合物的方法、系统和组件。例如,2008年5月9日提交的美国专利申请号12/151,979和PCT/US2008/05953(以前纳入本文)描述了采用将靶向照射斑点引导至分立反应区域并收集这些区域的光学信号的多个光学元件来分析大量形成阵列的反应区域,例如核酸测序复合物的方法。如上所述,这些系统还任选包括共焦掩模,以便增强此类检测的信噪比。本发明的系统通过各种途径进一步增强系统整体的多路性。
因此,虽然本发明系统可用于对基片上10、100、1000、5000等个分立反应区域作多路分析,但在特别优选的方面,可采用本发明对超过5000个分立反应区域、超过10,000个分立反应区域、超过20,000个分立反应区域、超过50,000个分立反应区域,甚至超过100,000个分立反应区域和最多1,000,000个或更多个分立反应区域作多路分析。除了本发明系统可分析的绝对数量(shear number)反应区域以外,应该知道在一些情况中,通过本发明提供的各种优点,此类反应区域的布置密度可以更高以前采用的。例如,可提供分立反应区域并在高密度下观察而没有过多干扰或其它问题。此类密度可以是,例如1000、10,000、100,000、1,000,000、10,000,000或更多反应区域/cm2。观察体积的密度最高可以增加至所用观察光线的延伸极限,对于这些应用中考虑的某些波长,最低可以到250nm。该间隔最高为16e9时,每平方厘米的观察体积体现在正方形阵列中,六角形闭合阵列略多一些。利用近场光学元件可超出衍射极限,从而导致极限仅由界限的物理大小控制,尺寸最小可以是50nm。在正方形阵列中以100nm作分隔,得到每平方厘米1e10的密度。
此外,对于所监测的区域数量,此类多路分析基本上是同时的。
“基本上同时”表示在1-5(优选1-2)摄像机帧的时帧内,所需数量的区域得到分析。出于本发明的目的,摄像机帧通常每1毫秒到约每10毫秒记录图像(或者帧率约100Hz到约1000Hz),从而为在基本上同时分析的范围内,此类多路区域的分析应发生在约1毫秒到约10毫秒的时间间隔内。因此,提供所需多路分析,例如在1毫秒到约10毫秒的窗口内观察多个位置至少一次的系统可称基本上是同时的,即使该分析在该窗口内的两个不同时间点进行。
在一些情况中,可采用较慢的帧率,这将延长可发生两个事件的时期,同时从摄像机的角度看来仍是基本上同时的。例如,可采用10Hz到100Hz、10Hz到50Hz、10Hz到20Hz,例如约15Hz的帧率。应该知道,在毫秒范围发生的取样速度可视作基本上同时的,例如1毫秒到约500毫秒、10毫秒到约100毫秒等等。
A.增强效率光学元件
实质性增加分立反应区域分析中的多路性面临许多约束条件。例如,在监测单分子反应的荧光信号的系统中,收集光学元件通常利用视场较小的高数值孔径物镜。因此,增加多路性通常需要更紧密地堆积反应区域以便利用一个物镜收集大量那些区域的信号。然而,在基片上更紧密地堆积反应区域产生一系列分辨率问题,包括,例如区域间的串扰等。
光学串扰示于图4。如图所示,在基片402,例如零模式波导404上提供反应区域阵列(例如404、410和412)。照射那些区域中发生的荧光监测反应,发出反应相关的荧光信号。分别如毗邻反应区域410和412的实线箭头406和虚线箭头408所示,信号的全方向发射分布导致毗邻区域的信号可能彼此干扰,特别是这些区域在基片上堆积得更紧密时。这种“串扰”限制了紧密堆积反应区域以供分析的能力。
本发明的某些方面采用增强的光学方法来提高信号收集的效率。
一个此类方法添加聚焦光学元件,所述元件用于最大程度降低反应区域间的光学串扰,还能将具有较大视场的物镜用于多种领域。降低串扰可能可改进紧密堆积反应区域的能力。同时,通过移动到物镜的较高视场,可扩展布置此类区域的面积。除了降低串扰,通过使光线转向进入检测器(不转向,检测器检测不到这些光线),本发明的聚焦光学元件还能增加光线的检测量。通过使光线转向,可利用,例如收集与不转向相同量的光线,但数值孔径较低的检测系统,从而具有较大视场。就本发明方法而言,具有大视场至关重要,例如能同时观察上万发光或发荧光区域。或者,通过使光线转向,可采用相同的数值孔径,但收集的光线更多。如下文更详细描述的,本发明的聚焦光学元件还可通过引导照射光线进入阵列,例如零模式波导阵列上的反应区域而提高照射水平。
微型镜阵列
本发明一方面提供成形微型镜阵列,其中每个微型镜与光学约束相关联,尤其是被并入到包含这些光学约束的同一基片中的成形微型镜阵列。
本发明的成形微型镜阵列的例子示于图5A。如图所示,总基片502包含布置在其表面上的反应区域阵列,例如零模式波导504-514。将圆锥形或抛物面镜516-526集成入底层透明基片528,将它们设置成使得到达和离开阵列中ZMW的进入和离去光线转向或聚焦。具体地说,圆锥形或抛物面镜通常由反射性材料,例如金属层,如铝、银、金、铬等构成,将其制造成底层基片以提供镜表面。
如图5B所示,镜,例如抛物面会圆锥形镜使ZMW内反应的荧光信号530转向或聚焦,从而提高收集此类信号的效率。如本文所示,例如,进入基片上反应区域的全向发射光转向,从而更便于检测。在一些情况中,如本文所示,光线可以至少部分校准。此外,对于各反应区域或ZMW,镜结构降低或消除基片本身的ZMW间串扰。除了降低串扰,还应知道使发射光线转向或聚焦导致收集效率提高之余还提高了系统的灵敏度。类似地,成形光学元件还用于使进入射线,例如光线532聚焦到反应区域,例如ZMW 504-514上。
其它设置也适用于并入这些圆锥镜的装置。例如,可将零模式波导核心区域延伸入底层基片。该例子示于图5C,其中反应区域550延伸到包覆层552外并进入底层基片554,从而在一些情况中更有效地转移到达和来自反应区域550的信号,这些信号反射离开镜556。光学组件,例如光阻断区域或滤光片组件还可包含在总体结构中,包括,例如掩模558以进一步增强镜系统的光学特性。
虽然本文所述的镜称为“圆锥”或“抛物面”镜,应该知道此类集成的反射聚焦光学组件的特征在于它们能在基片内提供反射组件,以便使反应区域通过基片发出的光线转向而增强对光线的检测,无论其精确形状为何。在一些情况中,从反应区域发出的光线至少部分校准。因此,本发明的成形镜会使基片上光学约束部件的光线转向至检测器,或至作为光具组一部分的光学元件,从而将光线从基片引入检测器。聚焦镜可包括除抛物面结构以外的形状,例如圆锥镜设置、分段圆锥镜设置、截短圆锥镜设置、偏抛物面镜设置、梯形镜设置和角锥镜设置等等,只要此类结构使光线转向,例如以增强检测来自或通过反应区域发出并进入基片的光线,例如通过部分校准。在许多情况中,镜具有圆筒状对称性。镜的形状可以是拟柱体,例如锥体、楔形、棱柱、反棱柱(antiprism)、穹顶形或其平截头形状。镜具有多个边,例如其包括锥体或锥体的平截头形状时,镜可具有任何合适数量的边。例如,当镜包含锥体时,锥体可具有3、4、5、6、7、8、9、10、11、12个或更多个边。
本发明的成形镜通常是微型镜,即,该镜小,通常具有数微米到数十微米数量级的尺寸。在一些情况中,术语微型反射器也用于指微型镜。镜的截面尺寸可以是约0.1微米到约100微米、约1微米到约50微米或约2微米到约20微米。虽然本发明的镜具有数微米到数十微米数量级的尺寸,但在一些情况中,本发明的成形镜可以更大,例如约100微米到约1毫米或更大。
此外,虽然描述的是在基片本身的内部提供反射材料,例如金属层,但应该知道可类似地采用其它反射设置而不用金属层。例如,结构可由折射率不同的材料构成以提供执行与本文它处所述金属层相同功能的反射界面。例如,如果光线的入射角度大于给定值(临界角度),从一种折射率的区域穿过界面进入折射率较低区域的光线通常完全内反射。采用该方式,可通过调节形状各侧材料的折射率而不用反射层来产生本发明的反射结构。
在一些情况中,本发明的成形反射结构包含圆锥形反射器。圆锥形反射器方案的效率在理论模型和实验上相比于非反射器基片表现出实质性改进。在一些情况中,圆锥形结构有用,因为便于通过各种方法形成。例如,可通过控制抗蚀层的几何结构和平版印刷条件控制各壁的锥度。可通过,例如控制抗蚀层的聚焦/曝光条件来控制抗蚀层的拓扑结构或通过灰度平版印刷控制抗蚀层的几何结构。还可通过控制蚀刻条件,例如控制表面钝化量或通过灰度蚀刻来控制形状。本发明的圆锥形镜基片通常包含截短的圆锥结构。圆锥形镜基片的截短圆锥部分的壁可以是直的,或者可包括一定曲率。图6显示了三种圆锥形结构的例子。图6A显示了圆锥形镜结构,其中所述镜的结构是直侧圆锥结构600构成的截短圆锥,其布置在反应区域或反应区以下的基片层,例如零模式波导630以使进入或离开反应区域的光线转向。图6B显示具有下部圆锥部分604和上部圆锥部分606的分段圆锥结构。该结构上布置有反应区域640。在该实施方式中,下部圆锥部分604具有相对于基片的垂线呈第一角度的侧壁,上部圆锥部分606具有相对于基片的垂线呈第二角度的侧壁,其中所述第二角度大于所述第一角度。在一些情况中,上部部分的壁与垂线呈一定角度,所述垂线低于下部部分的垂线。如图6C所示,圆锥结构可具有下部截短圆锥部分608和上部圆筒部分610,其中壁垂直于基片。该结构上布置有反应区域650。具有图6C所示概况的结构可用于产生高密度的阵列。例如,具有圆筒形顶部和圆锥形下部的结构的底层尺寸通常小于具有相同侧壁角度的简单截短圆锥结构。在一些情况中,如果结构具有较小的底层尺寸,可将更多结构堆积入给定区域。图6所示反射结构显示从底层透明层伸出。该底层透明层可以是平面基片,例如其表面布置有突起的熔凝二氧化硅晶片。图6所示结构通常在毗邻该结构的壁处具有反射涂层或反射区域以增强光的内部反射。可通过,例如给突起涂布反射层,用反射材料填充突起之间的区域或在突起外利用较低折射率的介质而为成形基片提供反射表面以促进内部反射。
虽然图6显示反应区域在圆锥形结构顶部的中心,在一些情况中,反应区域可以不直接位于中心。制造耐受(层)可导致反应区域离开中心位置。此外,在一些情况中,可将反应区域制造成离开中心以便,例如经历较高水平的照射,或者,例如照射或检测光学元件布置成与特定反应区域的垂线有一定角度。
可如上述ZMW阵列所述,制造高密度的微型镜阵列。反应区域相关的微型镜密度可以是,例如1000ZMW/cm2到1,000,000ZMW/cm2或更高。在任何给定时间,利用本文所述微型镜阵列分析一个分析系统内或者甚至在一个基片上的100、1000、3000、5000、10,000、20,000、50,000、100,000或1百万、1千万或更多ZMW或其它反应区域中发生的反应是理想的。
图7显示本发明微型镜的圆锥结构。图7所示截短的圆锥结构由透明基片上延伸的突起构成。截短的圆锥可以是圆筒形对称的,具有基本上环形的顶表面,直径为A,基本上环形的底层,直径为C,半高径为D。截短圆锥具有高度B。截短圆锥的壁基本上是直的,相对于表面的垂线呈角度θ。本文所示截短圆锥结构的侧壁基本上是直的,但诸壁可以不是很直,而仍能如本文所述起到使光线强度转向的作用。在一些情况中,产生圆锥结构的方法会导致不是很直的壁,例如具有弯曲形状。在其它情况中,包括不是很直的壁可提高收集效率。在许多情况中,产生突起的方法会产生带有隆起、嵴的表面或在不太直的侧壁产生其它瑕疵。在一些情况中,直的形状对于增加基片上反应区域的密度是理想的。例如,弯曲的壁,例如抛物面的底层直径可大于直侧壁的圆锥。在一些情况下,利用底层直径较小的结构,可获得较高的堆积密度。
具有图7所示形状的本发明示范性圆锥结构的顶直径介于约0.1微米到100微米之间,例如介于1微米到20微米之间或介于2微米到10微米之间。在一些情况中,截短圆锥顶部的直径A介于约1微米到约5微米之间。在一些情况中,所述直径介于2微米到4微米之间。本发明的示范性圆锥结构的侧壁角度(θ)介于约2°到45°、约5°到35°、约10°到30°或约14°到约26°之间。制造圆锥结构以使,例如圆锥结构顶部中心的反应区域发出的光线转向。示范性结构至少使反应区域发出的光线转向进入与垂线呈约22.5°角度的圆锥结构。在本发明的示范性实施方式中,圆锥结构B的高度介于约0.2微米到约100微米、约1微米到约10微米、约2微米到约8微米或约3微米到约6微米之间。在一些情况中,优选控制截短圆锥的高度与底层直径之比(B/C)。在示范性实施方式中,B∶C的比例介于约1∶3到3∶1、约1∶2到约2∶1、约1.5∶1到约1∶1.5或约1.2∶1到约1∶1.2。本领域技术人员应理解A、θ和B的选择会决定底层的宽度C。如上所述,为提高本发明光学结构的密度,优选控制参数以使底层的宽度C足够小从而能提高密度。底层C的直径可以介于约0.2微米到约100微米、约1微米到约10微米、约2微米到约8微米或约3微米到约6微米。
本发明的示范性圆锥结构的顶直径A介于约1微米到约5微米之间,高度B介于约2微米到约8微米之间,侧壁角度介于约10°到约30°之间。本发明的示范性圆锥结构在该圆锥顶部布置有反应区域,通常大致在该顶部的中心。反应区域可以是,例如零模式波导,其包含通过圆锥结构顶表面上的反射包覆层布置的孔隙。此类孔径直径为约30nm到约300nm。
图8(A)和8(B)显示本发明成形镜的两个示范性结构。例如,可通过为微型制造(包括半导体加工)和为产生MEMS装置开发的方法,例如照相平板印刷术和蚀刻的那些方法产生这些结构。图8(A)显示具有顶表面的透明基片800,在该表面上布置有其侧壁上具有反射涂层830的突起850阵列。突起850是成形微型镜,其使照射光线转向进入反应区域840和/或使反应的发出光线转向进入布置在透明基片800的底表面880以下的检测光学装置。反应区域840是,例如零模式波导,其由通过布置在微型镜结构顶部的包覆层820延伸的纳米级孔径构成。在一些情况中,反应区域840延伸入该透明基片。反射层830布置在微型镜的外壁以增强该壁的反射率。在一些情况中,包覆层820和反射层830可以是相同的材料,例如铝。透明表面以上的区域810可包含含有样品和/或反应物的液体介质,而这些样品和/或反应物可扩散进或出反应区域。在一些情况中,阵列中的所有反应区域与区域810中的同一溶液接触。在一些情况中,反应区域之间包含屏障以减少或阻止反应区域之间的扩散。
图8(B)显示本发明成形镜的备选结构。图8(B)显示透明基片802包含突起852,但平整化层862致使总的基片基本上是平的。反应区域842布置在突起852的顶部,例如作为通过包覆层822的孔径。可将反射层832布置在突起852上以改进反射率。在一些情况中,不用反射层832,例如平整化层862包含反射材料时。平整化层可包含硬质材料,例如无机材料,如旋涂玻璃或氮化硅。平整化层可包含金属材料,例如铝。平整化层可以是软质材料,例如聚合材料,如有机聚合物,如聚酰亚胺或硅氧烷聚合物。可将样品和试剂引入反应区域842,例如经由基片812之上的液体介质。
透明基片可包含无机材料、有机材料或同时含有机和无机材料的复合材料。透明材料通常是可在观察期间将反应区域维持于固定位置的刚性材料。基于二氧化硅的材料,例如熔凝二氧化硅是优选材料,例如采用半导体或MEMS加工方法产生微型镜阵列时。透明基片还可包含无机氧化物材料和玻璃。透明基片材料可以是非均相材料,例如具有多层的材料。例如,在一些情况中,透明基片可包含介电栈。还可利用透明聚合材料。透明材料通常优选表现出低水平的自身荧光。合适的透明聚合物包括,例如甲基丙烯酸酯聚合物,如PMMA,聚碳酸酯,环状烯烃聚合物,苯乙烯聚合物,含氟聚合物,聚酯,聚醚酮,聚醚砜、聚酰亚胺或它们的混合物。
透明层中突起外侧的反射层可包含金属材料,例如铝、铜、金、银、铬或它们的混合物。反射层还可包含反射有机聚合物,例如在聚合材料中包含反射颗粒的复合材料。
包覆层是不透明或反射材料。包覆层可以是金属,例如铝、铜、金、银、铬、钛或它们的混合物。在一些实施方式中,反射层和包覆层包含相同的材料。
相比于不用镜结构获得的收集效率,本发明的微型镜可提高从基片反应区域收集光线的效率。光学系统的收集效率通常受收集系统的数值孔径的影响。相比于不用微型镜收集的光量,本发明的微型镜可将具有给定数值孔径,例如数值孔径为0.5的检测系统收集的光量提高大于约10%、20%、30%、40%、50%、75%、90%、2倍、3倍、5倍、10倍或更高。检测系统的数值孔径可以是,例如0.1-0.9。在一些情况中,数值孔径介于约0.2-0.5之间。
微型镜结构还可提高照射源对反应区域的照射水平。例如,相比于不用微型镜的照射水平,照射水平可提高大于约20%、30%、40%、50%、75%、90%、2倍、3倍、5倍、10倍或更高。
在本发明的一方面,将镜结构制造成照射光线经历相长干涉,从而增强反应区域的光强度。光线可经历干涉,所述干涉是加入或叠加两个或更多个光波,从而产生新的波型。例如,彼此相关或相干的波可发生干涉,例如由于它们来自同一来源或由于它们具有相同或几乎相同的频率。例如,对于本发明的镜结构,可使入射光线从该结构的侧壁转向,从而自侧壁的不同部分转向的光线在镜空间内相互作用以结构性或破坏性干涉。由于转向的光线来自同一来源,光线的各转向部分易具有基本上相同的频率。给定区域的光线是否经历结构或破坏性干涉取决于该光线是同相(结构性)还是异相(破坏性)。通过控制微型镜结构的几何特征和照射光线的特性,可控制结构或破坏性干涉的区域。
可利用电磁建模工具,例如有限差异时域(FDTD)或有限元方法(FEM),通过输入镜结构和照射光线参数测定相长干涉的区域。我们测定到,对于顶直径与束流直径相似的圆锥结构,局部化区域,例如反应区域的领域相比于没有相长干涉可增加10倍数量级强度。在一些情况中,高强度的局部化区域的尺寸可以是约0.25到约1波长。为增强照射,束流直径优选具有与微型镜相同的尺寸。在一些情况中,镜结构具有顶部表面,例如是具有平的顶表面的截短圆锥,顶表面具有一定直径。在此类情况中,顶表面与这些系统的入射光线的束流直径之比是约1∶5到约5∶1、约1∶3到约1∶3、约1∶2到约2∶1或约1∶1.5到约1.5∶1。
图9显示用校准光线照射的微型镜结构。图中微型镜结构内的不同阴影代表了不同光强度。该图显示了接近微型镜顶部的数个高强度区域,其中一个高强度区域发生在位于镜结构顶部中心的反应区域。通过甲肝钠微型镜结构构建成相长干涉发生在反应区域,相比于没有微型镜存在时,可增强反应区域的光强度。与没有微型镜结构的相同照射光线的强度相比,可采用相长干涉将反应区域的光强度增强大于约30%、40%、50%、75%、2倍、3倍、5倍、10倍、15倍、20倍、30倍或超过30倍。
制造微型镜阵列
可通过各种方法制造本发明的微型镜阵列。制造阵列的一方面是在透明基片上制造结构,例如突起的阵列。可通过模塑、冲压、压花、机械加工、蚀刻或其它合适的方法制造结构阵列。
制造本发明微镜阵列的一种优选方法涉及采用微型制造方法,例如半导体或MEMS加工方法,该方法已高度开发用于制造,例如集成电路。已采用类似方法制造用于各种领域的MEMS(微型机电系统),包括喷墨打印机、加速计、压强传感器和显示器(例如,数字微型镜显示器(DMD))。可将微型制造方法应用于大基片,例如晶片,随后在许多装置中切成小片,从而一次制造许多装置。本发明一方面是采用这些方法在透明晶片,例如玻璃,如熔凝二氧化硅中制造微型镜阵列。本发明的方法适用于抗蚀加工,例如光致抗蚀剂以限定透明基片或其它层上的结构元件。采用蚀刻加工制造包含反应区域和微型镜结构的三维结构。采用沉积方法将各层加到基片上。还采用其它半导体加工,例如灰化、打磨、释放和升离制造本发明的微型镜结构,如下文详述的。
透明基片可以是任何合适的刚性透明材料。透明材料可包含,例如无机氧化物材料,例如二氧化硅。优选的材料是熔凝二氧化硅。
本发明一方面是制造包含反应区域阵列的基片的方法,该反应区域与并入的微型镜结构相关,该方法包括以下步骤:a)提供具有顶表面的透明基片;b)使该透明基片形成图案并作蚀刻以形成具有顶部和侧部的突起阵列;c)沉积包覆材料以使所述突起的顶部包含包覆层;d)通过该包覆层形成孔隙阵列以使各突起的顶部包含孔隙;和e)沉积反射沉积材料以使各突起的侧部包含反射层;藉此该突起阵列包含微型镜的阵列,而各突起顶部的孔隙包含零模式波导。该方法涉及反应区域和微型镜结构的制造。本文详细描述的一组方法涉及首先将反应区域制造成,例如孔隙阵列,随后制造微型镜结构。另一组方法涉及首先在透明基片上制造微型镜结构,随后制造反应区域。
为制造本发明的阵列,将具有不同尺寸需求的不同特征的不同处理过程组合起来可能是有用的。例如,本发明方法可利用193nm平版印刷方法制造反应区域和I-线平版印刷方法(I-line lithography)制造微型镜阵列。这不是典型的制造方法,因为在工艺中需要将基片从一个制造设备运送到另一制造设备。
图10到图18显示制造反应区域阵列的本发明示范性方法,其中各反应区域与透明基片内的微型镜光学元件相关。这些示范性方法并未穷尽列出构成本发明的方法。各方法涉及不同组的步骤。在一些情况中,一种方法的多组步骤可与另一方法的多组步骤组合来制造本发明的基片。例如,在一个方法中制造微型镜结构的步骤可与制造孔隙的步骤组合。此外,提供的说明书可不示出包括的所有步骤。例如,在许多情况中,本文未描述的灰化、清洁、抗蚀层去除。清洗和干燥步骤将包括在本发明方法中。
虽然所述方法中的步骤显示某些层直接位于其它层的顶部,但适当时本发明方法可包括在所述层之间纳入中介层,但本文未明确示出。例如,对于许多抗蚀处理,可包含保护层或抗反射涂层,如BARC,例如在抗蚀层和该抗蚀层以下的层之间。应该知道此类层可包括在所述处理中。除非明确描述,可视需要改变本文所述方法的步骤的次序。
在一些情况中,这些方法提供蚀刻数层的蚀刻步骤,例如通过金属包覆层和透明基片,或通过保护层、金属包覆层和透明基片中全部三个。虽然这些方法总体上显示同时蚀刻诸层,在一些情况中,对各层实施不同的平版印刷和蚀刻步骤。例如,如果在一些情况中通过金属层和透明基片进行蚀刻,优选首先沉积抗蚀层,使该第一抗蚀层形成图案以蚀刻金属层,沉积第二抗蚀层,使该第二抗蚀层形成图案以蚀刻透明基片。在一些情况中,可采用提供,例如分段圆锥结构的方式进行多个蚀刻步骤。例如,第一平版印刷和蚀刻方法可蚀刻金属层,笔直向下垂直于表面延伸入透明基片以形成圆筒状结构;和第二平版印刷和蚀刻方法制造较低的圆锥结构,该方法造成与表面的垂线呈一定角度的侧壁。以此方式,可采用多个蚀刻步骤制造镜结构,例如图6C所示。
一种半导体制造方法示于图10,其显示了此类微型镜光学元件和零模式波导阵列的制造过程,其中首先制造所述微型镜元件,在后续步骤中制造ZMW。如步骤I所示,首先用抗蚀层1002涂布透明基片层1000。然后在步骤II中露出抗蚀层并显影以提供镜阵列的所需图案1004。在步骤III中将其转移到下层基片,例如干燥蚀刻转移过程以产生镜阵列的负浮雕1006。本文所述的方法制造具有抛物面结构的镜的阵列。在一些情况中,优选在转移步骤后提供光滑处理步骤以减少粗糙蚀刻表面可能的光学偏差。此类光滑处理步骤可包括,例如温和蚀刻步骤以提供光滑的表面,例如低压等离子体蚀刻和/或在转移的表面上沉积光滑层材料。此类光滑层的例子包括,例如采用传统共形沉积方法,如低压化学蒸气沉积(LPCVD)方法、等离子体增强CVD方法(PECVD)等沉积在表面上的折射率匹配材料,如SiOx或SiO2层。
转移步骤III后,在步骤IV中将反射材料层1008沉积在形成图案的基片上。在特别优选的方面,反射材料是通过金属化方法,例如蒸发或溅射沉积在形成图案的表面上的金属涂层,例如铝或铬,从而限定镜阵列的反射表面。在一些情况中,采用共形沉积方法,例如蒸发进行沉积或金属化。
金属化步骤后,在步骤V中将填充层或平整化层1010沉积在反射层1008上。该步骤可利用各种填充材料,包括其它金属层(或连续金属层)、无机材料,如硅、二氧化硅、聚合材料、半导体材料等。在特别优选的实施方式中,将基于二氧化硅的层沉积成填充层,该层最好包含二氧化硅或其它玻璃样材料。可通过数种传统方法制造玻璃填充层,包括利用旋涂玻璃材料,或通过基片上的蒸气沉积和随后的硅填充层氧化。
然后在步骤VI中除去上表面以露出抛物面镜顶部的开口1012,例如,通过表面研磨、打磨或蚀刻或这些方法的组合。然后在步骤VII中将金属包覆层1014沉积在上表面,在其中布置有孔隙,例如ZMW孔1016,并与各抛物面镜结构的开口光通信以限定集成了抛物面镜的ZMW阵列。
图11显示制造本发明集成反应区域和微型镜与透明基片的另一示范性方法。在步骤(I)中,将抗蚀层1120,例如光致抗蚀剂沉积在其顶表面布置有包覆层1110的透明基片1100上。使该抗蚀层形成图案并显影产生特征阵列1125。在步骤(II)中,蚀刻包覆层1110以产生反应区域阵列1140,例如通过包覆层的孔隙。还通过其它方法,例如本文所述那些,如利用牺牲支柱,或通过包覆层的电子束蚀刻产生反应区域阵列1140。在步骤(III)中,将保护层1150沉积在基片上。在步骤(IV)中,沉积限定镜结构特征的抗蚀层1160,并形成图案。在步骤(V)中,采用一个或多个蚀刻步骤产生微型镜结构,本文显示为圆锥形。然后除去抗蚀层以露出保护层。在步骤(VI)中,沉积反射层,例如通过溅射或蒸发金属1170。在步骤(VII)中,沉积限定镜结构1180顶部的抗蚀层,并形成图案。在步骤(VIII)中,蚀刻抗蚀层未覆盖的金属部分以露出其下的保护层。然后除去保护层以打开孔隙。得到的阵列具有通过包覆层的孔隙构成的反应区域,所述孔隙各自布置在镜结构的顶部。
本发明的备选示范性方法示于图12。步骤(I)和(II)利用抗蚀层1220在透明基片1200顶部的包覆层1210中产生孔隙阵列1240。还可通过其它方法,例如本文所述那些,如利用牺牲支柱或通过包覆层的电子束蚀刻产生反应区域阵列1240。在步骤(III)中,沉积限定微型镜结构的抗蚀层1260,并形成图案。在步骤(IV)中,对于微型镜结构,控制抗蚀层几何特征和蚀刻条件以产生具有逐渐变细侧壁的特征,本文显示为具有基本上直侧壁的圆锥结构。在步骤(V)中,沉积反射层,例如金属层1270。在步骤(VI)中,沉积抗蚀层1280并形成图案以露出微型镜结构顶部抗蚀区域之上的区域。在步骤(VII)中,蚀刻露出的金属,然后除去抗蚀层以露出孔隙,因此产生各自与微型镜相关的反应区域的阵列。
图13显示本发明的另一示范性方法。首先,步骤(I)和(II)利用抗蚀层1320在透明基片1300顶部的包覆层1310中产生孔隙阵列1340。还可通过其它方法,例如本文所述那些,如利用牺牲支柱或通过包覆层的电子束蚀刻产生反应区域阵列1340。然后,在步骤(III)中,沉积保护层1350。在步骤(IV)中,沉积限定微型镜结构的抗蚀层1360,并形成图案。在步骤(V)中,采用蚀刻方法产生具有逐渐变细侧壁的微型镜结构,除去抗蚀和保护层。通过抗蚀层沉积、形成图案和在步骤(VI)中去除而在微型镜结构顶部形成抗蚀支柱1380,在步骤(VII)中,沉积金属1370以在微型镜上形成反射层。在步骤(VII)中,除去抗蚀层,除去抗蚀支柱和沉积在其顶部的金属,因而产生各自与微型镜相关的反应区域的阵列。
图14显示另一示范性方法,其中,步骤(I)和(II)利用抗蚀层1420在透明基片1400顶部的包覆层1410中产生孔隙阵列1440。然后,在步骤(III)中,沉积牺牲层1450。优选的牺牲层包含锗或硅。在步骤(IV)中,沉积限定微型镜结构的抗蚀层1460,并形成图案。在步骤(V)中,采用蚀刻方法产生具有逐渐变细侧壁的微型镜结构,采用后拉方法将牺牲层的边缘向后拉过微型镜顶部。在步骤(VI)中,沉积金属1470作为微型镜的反射层。溅射可以是该金属沉积步骤的有用方法,因为能有效地覆盖侧壁而不覆盖牺牲层的侧壁。在步骤(VII)中,释放牺牲层,还除去牺牲层顶部的抗蚀和金属层。由于释放材料能通过通孔扩散,在一些情况中产生有助于有效除去稀释层顶部的抗蚀和金属层的气泡,优选采用湿性释放方法释放稀释层。在一些情况中,联用超声处理与湿性释放方法。
图15显示本发明的备选方法。在步骤(I)中,在透明基片1500上沉积限定微型镜的抗蚀层1510并形成图案。然后采用,例如反应离子蚀刻方法在透明基片1500中产生微型镜结构。在步骤(III)中,该微型镜结构上沉积金属反射层1520。在步骤(IV)中,沉积平整化层1530,例如旋涂玻璃或PECVD氧化物。平整化层的厚度可以是,例如8微米到10微米。平整化层可以是硬质材料,例如旋涂玻璃,或者可以是软质平整化层。软质平整化层可以是,例如旋涂紫外可固化有机聚合物,如Summers J91或SK9。如果平整化层包含硬质材料,该平整化(层)通常经打磨,例如采用步骤(V)所示的化学机械打磨(CMP)。如果平整化层包含软质材料,例如紫外可固化聚合物,则在紫外固化后采用氧蚀刻刻去旋涂聚合物的顶部区域直至微型镜的顶部,类似于打磨。打磨或氧蚀刻步骤露出微型镜结构的顶部。在步骤(VI)中,将金属包覆层1540沉积在表面上。在步骤(VII),沉积限定孔隙的抗蚀层1550并形成图案。在步骤VIII中,蚀刻包覆层以形成反应区域1560,除去抗蚀层以产生各自与透明基片上的微型镜相关的反应区域的阵列。
图16显示本发明的还有另一备选方法。在步骤(I)中,在透明基片1600上沉积限定微型镜的抗蚀层1610并形成图案。然后采用,例如反应离子蚀刻方法在透明基片1600中产生微型镜结构。在步骤(III)中,将金属反射层1620沉积在微型镜结构上。在步骤(IV)中沉积软质平整化层1630,例如聚合物层。软质平整化层可以是,例如旋涂紫外可固化有机聚合物,如Summers J91或SK9。在步骤(V)中,沉积限定反应区域的抗蚀层1640并形成图案。在步骤(VI)中,进行通过软质平整化层1630和金属层1620作蚀刻的深层蚀刻以形成反应区域1660。在步骤(VII)中,除去抗蚀层和软质平整化层,因而产生各自与透明基片上的微型镜相关的反应区域1660的阵列。
图17和图18显示利用小支柱(纳米支柱)的本发明备选方法,该支柱从沉积在透明基片上的层,例如熔凝二氧化硅(层)形成。在表面上形成纳米支柱,随后除去以产生本发明的反应区域。可以从任何合适材料层形成纳米支柱。形成纳米支柱的优选材料是多晶硅(多晶-Si)或多晶锗(多晶-Ge)。可通过,例如低压化学蒸气沉积(LPCVD)、等离子体增强的化学蒸气沉积(PECVD)或无定形硅的固相结晶(SPC)将多晶-Si沉积在透明基片上。利用纳米支柱,例如多晶-Si纳米支柱产生反应区域可纳入本文所述的其它方法,例如上述那些。虽然描述了从多晶-Si制造纳米支柱的一些方法,但应该知道这些方法可利用其它合适材料制成的纳米支柱。
对于图17所示的方法,在步骤(I)中,在透明基片顶部产生多晶硅纳米支柱的阵列,例如通过将多晶硅沉积在该透明基片上,沉积抗蚀层并形成图案以限定纳米支柱,蚀刻除去不想要的多晶硅区域以产生纳米支柱。在步骤(II)中,沉积形成微型镜结构的抗蚀层并形成图案。在步骤(III)中,蚀刻透明基片以产生具有逐渐变细侧壁的微型镜结构。在步骤(IV)中,沉积厚金属(层)以掩蔽纳米支柱并填充微型镜结构之间的区域。在步骤(V)中,平整化金属层,例如通过CMP,从而露出纳米支柱顶部。然后在步骤(VI)中除去露出的纳米支柱以产生反应区域阵列。在该实施方式中,金属(层)用作微型镜结构各壁的包覆层和反射表面。在一些情况中,可利用厚金属层进行热量控制,因为产生的金属层具有较高传热系数。
图18显示利用纳米支柱产生反应区域阵列的备选方法。在步骤(I)中,在透明基片顶部产生多晶硅支柱的阵列,例如通过将多晶硅沉积在该透明基片上,沉积抗蚀层并形成图案以限定纳米支柱,蚀刻除去不想要的多晶硅区域以产生纳米支柱。在步骤(II)中,沉积形成微型镜结构的抗蚀层并形成图案。在步骤(III)中,进行透明基片蚀刻,例如反应离子蚀刻以产生微型镜结构。在步骤(IV)中,沉积金属层以在微型镜结构顶部形成金属包覆层,在微型镜结构侧部形成反射层。在步骤(V)中,沉积平整化层,例如旋涂玻璃以填充微型镜结构之间的区域。在步骤(VI)中,进行平整化或打磨步骤以平整化微型镜结构顶部的平整化层和金属层及露出纳米支柱结构。在步骤(VII)中,除去纳米支柱结构以产生各自与微型镜结构相关的孔隙或反应区域的阵列。
图19显示采用牺牲层形成本发明的反应区域,例如纳米级孔隙的方法。图19所示方法可纳入本文所述的任何合适方法或与之组合以形成本发明微型镜相关的反应区域的阵列,包括以上图10-18所述那些。在步骤(I)中,将牺牲材料1910涂布到透明基片1900上,将硬质遮蔽层1920涂布到牺牲材料1910上。牺牲材料可以是,例如多晶-Si或多晶-Ge。硬质涂布材料可以是,例如氧化物或氮化物,例如氧化硅或氮化硅。在后续蚀刻步骤中,硬质涂布材料对于牺牲材料应具有可接受的选择性。在步骤(II)中,采用平版印刷蚀刻硬质掩蔽层。在步骤(III)和步骤(IV)中,蚀刻牺牲层。如步骤(IV)所示,采用受控过蚀刻进行蚀刻,从而产生特定切口并形成牺牲支柱。可将切口制成硬质涂布掩蔽层的直径或其它表面尺寸(W1)是牺牲层直径(Wp)的1.1到3倍。在一些情况中,W1/Wp介于约1.5到约2.5之间。在一些情况中,W1/Wp介于约1.6到约2.2之间。切口尺寸可以是,例如介于约10nm到约300nm之间,介于约50nm到约200nm之间,或介于约70nm到约150nm之间。然后在表面上沉积金属包覆层1930。采用,例如溅射等方式进行包覆层沉积,从而金属沉积在硬质掩蔽层在牺牲层上延伸的透明区域上。在步骤(VI)中,除去牺牲支柱,例如利用优先溶解牺牲材料的试剂以露出反应区域1940。牺牲材料是锗,包覆金属是铝,可利用水性氧化剂溶解锗而基本上不除去铝。
可在一步或多步中蚀刻透明基片以形成微型镜结构。在一些情况中,可采用一系列照相平板印刷术和蚀刻步骤形成镜结构。虽然采用多个蚀刻步骤为该方法增加了更多的步骤,但在一些情况中,采用一系列步骤可更好地控制微型镜结构。在一些情况中,可采用2、3、4、5、6、7、8、9、10、11、12、13、14、15、20、30、或更多的步骤。图20显示采用多个照相平板印刷术和蚀刻步骤的示范性方法。对于图20所述的方法,用光致抗蚀剂涂布基片2000,形成图案并蚀刻9次。例如,蚀刻第一层以产生圆筒状结构,其直径为最下层的。然后使后续各光致抗蚀层形成圆形图案,其直径略小于之前的层。多步方法产生具有抗蚀层限定的结构特征的结构阵列2010。在一些情况中,该方法产生具有各层限定的一系列梯台2020的结构2010。如果需要,可打磨结构的壁,例如通过湿法或干法蚀刻以部分或完全除去梯台结构。通过多个蚀刻步骤形成微型镜结构之前或之后,可如本文所述反射性涂布各结构,并产生相关反应区域。图20所述结构2010显示具有相对较直的侧壁(具有梯台),但可采用多蚀刻步骤方法产生具有任何随意形状的结构,包括弯曲壁、分段圆锥结构、抛物面结构等。多个蚀刻步骤可纳入各向同性、各向异性或灰度蚀刻步骤的任何组合。虽然所述方法产生圆筒状对称结构,但应该知道还可采用多步骤方法产生具有除圆筒状对称性以外的其它对称性的结构。
在一些情况中,本发明方法利用抗蚀剂,通过平版印刷限定和产生结构。这些抗蚀剂可以是,例如光致抗蚀剂或电子束抗蚀剂。可采用紫外、深紫外、G-系、H-系、I-系或其它合适波长或波长组显影光致抗蚀剂。所用光致抗蚀剂的类型以及由此用于处理的仪器类型取决于产生的特征的尺寸。在本文所述许多方法中,利用较高分辨率的抗蚀剂和设备产生对应于反应体积的孔隙,其中孔隙的大小可以是10nm到500nm的数量级,采用较低分辨率抗蚀剂和相关设备产生微型镜,其具有的特征尺寸可以是1微米到20微米。本领域已知许多抗蚀剂,许多可从公司,例如罗门哈斯公司(Rohm and Haas)和希普雷公司(Shipley)商品化购得。本发明方法所用的抗蚀剂可以是负性或正性光致抗蚀剂。如果本文所述的方法利用负性光致抗蚀剂,应该知道实际上也可利用合适的正性光致抗蚀剂,反之亦然。适当时,还可采用化学扩增以增加抗蚀剂的灵敏度。适当时,可除去抗蚀剂,并清洁、清洗、灰化和干燥基片,这些操作是本领域已知的。
在一些情况中,用于反应区域(例如,ZMW)的照相平板印刷术的工具利用能产生特征大小约10nm到约100nm的结构的光刻曝光工具。此类系统包括,例如AMSL XT1250曝光工具。
在本发明的一些方面,采用蚀刻方法在透明基片中或在其它层中产生三维特征,以便形成,例如光学元件,例如微型镜或透镜,或反应体积,例如纳米级孔隙。所用的蚀刻方法取决于所用材料的类型、特征的尺寸和抗蚀剂系统。在一些情况中,采用湿法蚀刻或湿法化学蚀刻。还可采用电化学蚀刻。在一些实施方式中,等离子体蚀刻或反应离子蚀刻(RIE)用作蚀刻方法。还可采用深层反应离子蚀刻(DRIE),例如,需要具有高长宽比的结构之时。还可采用干蒸气相蚀刻,例如利用二氟化氙。适当时,可采用批量显微机械加工或表面显微机械加工产生本发明的结构。本发明方法所用的蚀刻可以是灰度蚀刻。控制抗蚀层形成和蚀刻的条件以产生具有所需几何性质的侧壁以便用作微型镜,例如具有所需侧壁角度。
本发明的一些方法涉及沉积反射层或包覆层。可通过湿法,包括从溶液旋涂各层,或通过气相方法沉积这些反射层。合适的方法包括电镀、溅射沉积、物理蒸气沉积、蒸发、分子束外延、原子层沉积和化学蒸气沉积。金属可用作反射层和包覆层。合适的金属包括金、镍、铝、铬、钛、铂和银。反射和/或包覆层可包含铝,其可通过溅射沉积,例如利用可从CVC、诺氏公司(Novellus)或MRC商品化购得的溅射工具。
在本发明方法中沉积各层时,在一些情况中,在进行到该方法的下一步骤之前处理各层。例如,沉积层可经退火、平整化、清洁、钝化或轻度蚀刻以提高其特性。
在本发明的一些方法中,沉积保护层或牺牲层。保护层可以是聚合层,或可以是无机层。合适的保护或牺牲层包括锗(Ge)和无定形硅(a-Si)。可利用保护层产生本文所述的元件。可选择保护或牺牲层材料的类型的选择性反应性,例如对于湿性化学蚀刻剂的反应性。例如,在一些情况中,有二氧化硅和铝存在下,加热的过氧化氢能选择性蚀刻锗,其用于产生与纳米级孔隙组合的光学微型镜结构。
在一些方法中,采用拉后方法。拉后方法通常包括从元件的边缘蚀刻到某层内,以减小该元件的尺寸。可利用与具有露出边缘的某层选择性反应的湿性化学试剂实施拉后。在一些情况中,利用过氧化氢对锗层实施拉后。
一些方法采用打磨步骤以除去基片的表面区域。合适的方法包括化学-机械打磨或化学-机械平整化(CMP)。
本发明的一些方法纳入平整化层。沉积平整化层的方法取决于所用材料的类型。平整化层可以是硬质材料,例如无机材料,例如氮化硅;其可以是金属材料,例如铝;或者其可以是软质材料,例如聚合材料,如有机或基于硅的聚合物。平整化层可以是玻璃,例如二氧化硅材料。在一些情况中,平整化层包含旋涂玻璃,例如硅酸盐、磷硅酸盐或硅氧烷材料。可利用,例如霍尼韦尔公司(Honeyewell)的合适旋涂材料。平整化层可包含,例如掺杂了其它试剂的玻璃以控制其熔化特性,例如硼-磷-硅酸盐玻璃(BPSG)。合适的聚合平整化材料包括,例如聚酰亚胺。
可将本发明的阵列纳入分析系统以便分析该阵列反应区域中发生的多个反应。本文所述的阵列通常具有液体可从顶部接近,可从底部作光学分析的反应区域。因此,常将阵列包含在其中引入感兴趣反应混合物的容器中。在一些情况中,各反应区域均与一定体积的液体接触,所述液体可具有,例如可分析的多种核酸模板分子,还可具有核苷酸、辅因子和其它调节剂以便实施待分析的反应。
可将包含阵列的容器置于具有合适光学组件、计算机控制器和数据分析系统的仪器内。可将包含阵列的容器维持在仪器内,从而能控制反应条件,例如容器温度和容器大气条件。容器大气条件可包括样品上气体的组成,例如湿度,和其它气态物质,例如氧的水平。
集成的透镜阵列
与集成的抛物面镜一样,可采用各种传统技术制造透镜阵列,包括例如半导体制造方法、聚合材料的微型模塑等。例如,与上述集成镜制造方法的部分一样,可采用蚀刻方法,例如反应离子蚀刻产生此类透镜阵列。或者,如上所述,可采用可变离子植入方法改变基片组件的折射率来限定已有基片的透镜。还应知道,还可在基片内制造额外的光学元件以改善从反应区域收集光线。例如,可采用上述离子植入方法在基片中直接限定不同反应区域各自的衍射光栅。
在其它方面,利用至少部分校准或聚焦到达和/或离开基片的荧光信号的透镜阵列替代(或在一些情况中用之增强)圆锥或抛物面镜。此类透镜阵列示于图21。所示的整体装置2100还包括布置在透明基片2116的第一表面2114上,在其中进行感兴趣反应的零模式波导阵列,例如ZMW 2102-2112。微型或纳米透镜,例如透镜2118-2128的阵列布置在透明基片2116的相反(或背面)表面2134上。如图所示,这些透镜具有一定大小,以匹配ZMW阵列的间距布置,从而各ZMW具有其本身相关的透镜。与图5B所示镜阵列一样,ZMW相关的透镜使ZMW发出的光线转向,例如部分校准,并收集和分析该光线以监测感兴趣的反应。虽然此类透镜在消除基片内串扰方面不如抛物面镜基片有效,但它们提供成本和易于制造等额外益处,在一些情况中,还可用于代替此类集成镜。
如图所示,可将透镜阵列集成入下方的透明基片。或者,可分别制造透镜阵列,将其结合于下方的基片以提供相同或相似的结果。虽然显示的是布置在阵列背面的单层透镜,应该知道透镜阵列可由多个透镜层组成,这些透镜层各自寻址基片上的不同区域,或者可组合以在基片的给定区域提供所需光学功能。此外,虽然显示的是从透明基片的背面伸出的透镜,但在一些情况中,透镜可以集成在该透明基片内。例如,可在下方基片中制造透镜,从而改变透镜在这些位置的折射率。与常规透镜制造一样,可采用微型机械加工技术将分立的透镜包埋在基片中以提供二元折射率。此外,通过在基片的所选位置产生折射率梯度,基片的这些部分可起到透镜的作用。可通过许多方式,包括离子植入方法改变基片,例如玻璃基片的折射率。除了透镜或透镜阵列外,可将衍射光栅或其它光学功能元件类似地制造在下方基片中。
由于聚焦光学元件至少部分校准基片的信号,降低了对窄视场、高数值孔径物镜的需要,可以利用大视场、NA较低的物镜,如此通常赋予整个系统成本和可用性方面的优势,因为可以利用制造不那么严格的物镜。此外,用于制造高倍物镜的外来材料在露出于激发射线时可增加物镜本身的光致发光。
此外,由于利用低倍物镜,物镜与基片之间的间隔可大于利用高数值孔径物镜时通常提供的。这种额外的间隔能将额外的光学组件,例如一个或多个合适的分色镜插入基片和收集物镜之间。在至少一个示范性实施方式中,在基片和物镜之间提供分色镜能分开照射光线与收集物镜(以及其它收集光线组件)。通过进一步分开激发路径与检测路径,特别是提供不发现激发光线的收集物镜,能完全消除激发射线通过物镜时在收集路径中产生的自身荧光或光致发光。图22A显示了该光学装置。如图所示,总系统2200包括基片2202,其上布置有许多反应区域2204。还包括集成在基片内(如图所示)或作为分立组件的聚焦光学元件(例如,上述的集成微型镜或透镜),例如以便校准反应区域的光学信号和/或将照射光线聚焦到反应区域。该系统还包括激发光源2206,或多个激发光源,以便为基片2202提供激发光线。激发光线经设置在收集物镜2210和基片2202之间的分色镜2208对准基片。通过分开激发光线与收集物镜2210,可避免收集物镜2210自身荧光相关的问题,而这是此类噪声的主要原因。
在被分色镜2208反射到基片2202之前,通常还对激发光线进行额外操作,例如分束、波束成形、过滤等。例如,激发光线可通过多路光学元件以提供大量小波束,例如DOE 2212,还可通过聚焦光学元件,例如物镜2214,以便将波束聚焦到基片的焦平面。
由于光谱特征与激发光线不同,发出的信号通过分色镜2208,有收集物镜2210收集。如前所示,如果基片的信号早已为聚焦光学元件部分校准,收集物镜可以是低倍的,例如从没有聚焦光学元件的系统的FOV为3mm或更小的60X(n.a.0.9)或更高的物镜到FOV为45mm或更大的4X(n.a.0.28)或更低的物镜,而仍能为单分子分析提供足够的灵敏度。与图2显示的系统一样,收集的信号随后经光谱分离,例如通过光栅2216,并经进一步聚焦,例如通过透镜2218,或其它操作,然后在检测器2220处检测。
图22B显示了基片的分色镜和照射路径的其它或备选说明。如图所示,分色镜2208夹在两个光栅2250和2252之间的界面处。照射源的激发射线,例如激光2206对准分色镜2208。如图所示,激发射线首先反射离开基片2202的背面,如实线箭头所示。然后分色镜2208将激发光线反射到基片和其上的反应区域,例如图22A中的反应区域2204。然后反应区域发出的荧光信号通过分色镜2208进入物镜2210,进入光具组和检测系统的其余元件,例如图22A所示。与其在例如图22A所示倾斜于此类方向相反,通过在垂直于光学路径的方向提供总分色元件,如图22B所示,引入物镜空间的光学偏差量降低,而这种偏差可进而降低检测面或共焦面的图像质量,可能是这种情况。
B.时分多路性
一方面,除了本文所述的其它增强多路性方案外,可通过给定系统的时分多路化实现多路性增加,例如在不同时间露出不同区域。此类系统可包括以较低速度在不同组区域之间切换,这类似于扫描方法,例如在不同时间照射和/或检测不同区域,其中对于不同区域,不同定时不大重要。或者,对于本发明的各方面,在足够的频率下进行分析的时分多路化,对于给定分析,基本上同时进行照射和/或检测,例如从照相机帧捕捉速度来看,不同区域中的检测看来是同时的,如前所示。
本发明的该方面示意性地示于图23。如图所示,在基片2300上提供第一照射模式,其在第一时间点,例如时间t=0时照射反应区域2310的第一子集2302。然后在时间t=1时将靶向照射模式转换成照射区域2310的不同子集2304。出于本发明的目的,t=0和t=1在时间上足够接近,例如转换频率足够,从而两种照射模式基本上是同时的,该措词与本文它处描述的一样。按照本发明,虽然显示的是在t=0和t=1之间切换的两种子集模式,但应该知道可采用各种不同照射模式并在所需时间帧内切换以便基本上同时照射不同区域。例如,可采用基本上同时的方式分别照射区块、列、截面或随机或任意选择的区域子集。
在至少一种实施方式中,本发明的时分多路化系统利用可在两个或更多个光学路径之间选择性和快速转换的光学转换组件,从而照射基片上的不同区域。例如,在第一方面,分析系统的照射路径包括光学路径中的可转换定向镜以便将激发射线的方向转向基片上的不同位置。在更复杂的系统中,可利用可编程镜阵列,例如数字光处理器(DLP),其能将靶向照射快速定向于基片的不同部分。其它动态转换组件,例如能选择性切断子集或甚至单个波束的光学路径的组件包括,例如MEMS快门阵列,空间光调节器,例如LCD SLM。此类照射路径的例子示于图24。如图所示,照射路径2400包括激发射线源,例如激光2402。通过合适的多路光学元件,例如一个或多个衍射光学元件、光栅等,例如DOE 2404引导激光,产生所需多路性的靶向照射模式。将靶向照射模式对准镜2406,其选择性引导照射模式的诸部分通过光具组的其余元件,例如分色镜2410和物镜2408,入射到基片2414的部分区域上。快速切换镜导致在不同时间高频率地照射基片2414上的不同区域,但优选在检测系统的帧捕捉期内。在特别优选的方面,切换系统以超过系统中检测器获取速度,例如照相机的帧捕捉速度的频率操作。具体地说,在照相机获取单帧所需时间中,通过将单帧捕捉事件中切换至少1X,优选至少2X,在一些情况中更常见的是,例如至少3X、4X、5X或更高,切换过程对于检测系统在很大程度上检测不到或观察不到。例如,对于帧频为,例如100-1000Hz的高速照相机,检测系统的切换频率应是至少100Hz、200Hz、1000Hz、2000Hz或甚至更高。应该知道,在一些情况中,可采用动态调节各波束来调整各波束或波束子集的相对强度从而调节光学系统中其它偏差,例如渐晕等导致的基片改变。
应该知道,虽然描述的是将多路光学元件2404的多路束的不同部分间歇透射到基片2414,但在一些情况中,切换元件可简单地使多路束转向至基片2414的不同部分以进一步增强多路性。例如,在一些情况中,多路光学元件2404可产生照射第一子集区域,例如图23中的子集2302的照射模式。然后切换功能将同一靶向照射模式引导至其它子集区域,例如图23至的区域2304。因此,可选择性设计多路光学元件以提供,例如照射反应区域中每隔一列或一行。然后将切换光学元件,例如镜2406设置成使光束转向半个周期来照射反应区域的间插列或行。
C.检索活性
虽然本发明的许多方面涉及增加经照射和/或检测的反应区域总数以供反应分析,但在一些情况中,通过首先查询大量反应区域,然后仅照射和/或检测有活性的,例如显示感兴趣反应迹象的此类区域的子集来实现有效的多路性。因此,在另一方面,增加的多路性仅应用于基片上的活性区域。实际上,该方法查询大量反应区域,但仅维持分析小的子集。因此,虽然最初作了高度多路性的有效查询,但对可能甚至无需超高多路因子的反应区域的实质性更小的子集进行最终分析。得到的系统有效增加多路性,即,更高水平通量地分析相关区域,而不在整个分析期间维持高多路分析。因此。所需能量输入可低于直接的高多路方法,同时信噪比有增益,等等。上述方法的概述示意性地示于图25。如图所示,首先广泛查询具有大量潜在反应区域2502的基片2500以识别活性反应区域(如填充圆圈2504所示)。此类广泛照射可采取统一泛光照射整个基片获取实质性部分的形式,或可采取扫描分析不同区域的形式以识别表现出活性的那些。或者,可类似地采用靶向照射方法以识别活性区域2504。一旦识别到,随后将光学系统设置成仅照射表现出活性的那些区域,从而仅照射并由此监测那些区域的信号(如虚线圆圈2506所示)。
此类选择性照射可利用各种不同光学系统或组件。例如,与以上时分多路照射方法一样,可将可编程镜阵列快速设置成仅照射给定基片上的所选精确区域。同样,可利用具有可寻址像素的LCD掩模,例如传统平板LCD显示器所用的来选择性照射基片上的所需区域。可如图24中镜2406所述安置此类组件以便选择性阻断去往基片的无活性区域的波束。特别是,通过提供LCD掩模,能选择性寻址单个像素以打开或关闭它们对激发光线,例如波束的透射,从而控制最终射到基片上的波束的数量和模式。
除了为基片上的不同区域提供可控掩模照射,以上方法还适用于调节基片上不同区域的照射强度。特别是,通过调节光通过不同掩蔽元件,能调节基片接受到的光强度。以上调节对于解决靶向照射中其它光学组件导致的非均匀性,例如多路光学元件,如DOE等的差异导致的非均匀性特别有用。具体地说,可制造灰度掩模,或者在可编程空间光调节器的情况中,编程以调节靶向照射中不同照射区域之间任何预定的非均匀性。特别是可取样给定光学路径的照射特征,包括多路光学元件,并依据该模式设置空间光调节器或掩模,适当地纠正偏差,例如降低较亮照射斑点的强度。
D.增强多路照射
在其它方面,本发明利用多个照射源与一个或多个衍射元件的组合提供增强的多路照射以照射大量分立的反应区域。在此类情况中,可引导2、3、4、5、10或更多激光束通过一个或多个衍射光学元件以在基片上产生大量照射斑点。
照射基片上大量分立区域时,例如利用衍射光学元件以提供分立波束时,确保足够的能量递送给大量照射区域通常需要增加提供给系统的能量。对于超高多路系统,用于此的各照射源由于成本和可用性的原因而无法购得。例如,在某些示范性实施方式中,单一照射源束分成提供约5μW/μm2的波束。实现80,000个分立斑点的相同照射能量提示单一照射束为约500mW。
除激光照射外,衍射光学元件通常产生相对于小视场具有合理束均匀性的束模式。然而,如果需要扩展视场,某些应用的照射模式可变得过分非均匀。因此,扩展多路照射,例如一个数量级或更高时,预计照射斑点的照射强度有实质性改变。
因此,在一方面,本发明提供被引导通过一个或多个衍射元件的多个照射源和/或源束,以便利用易得、低功率的照射源提供超高多路照射和照射视场的较高均匀性。
在第一方面,多个照射束被引导以不同角度通过单一衍射元件,以便提供反射多个光束的输出照射模式和起始光束中的角度变化。其示意性地示于图26。如图所示,多个照射束(例如实线2602和虚线2604所示)以不同角度(如角度2608所示)对准衍射光学元件2606。将各起始波束的DOE发出的照射“波束”2610的所得模式以其本身的模式引导到基片2612上(例如,在基片2612上示意性显示为填充和未填充斑点),其中各模式被两个起始波束之间角度差异的功能所抵消。
除利用多个照射源束外,本发明还设想了利用多个衍射元件,其中个衍射元件接收起始照射束的子集以产生相关模式。具体地说,由于单一衍射元件发出的较高多路模式在整个较大视场上可提供过分偏差,可利用各自在特定基片的区域子集提供照射模式的多个衍射元件,从而照射差异局限于存在于较小视场的那个,因此不会超出所需应用的范围。
此类系统的一个例子示于图27A。具体地说,如图所示,提供的多路衍射光学元件2706可不可单一集成组件或多个相连的衍射光学元件2704。多个起始照射束(显示为从多个照射源,例如激光2700发出的实线、短虚线和常虚线)对准多路DOE 2706,其中各束与其它束呈轻偏斜角度而对准DOE。如图所示,可包括刻面光栅、波导阵列、光纤束或其它光学组件以使各束转向至其合适的DOE组件。此外,可角度偏置阵列中的各DOE组件以最佳引导发出波束通过光具组的其余元件。
然后集成DOE 2706内的各DOE元件2704的所得束模式通过将各束聚焦在基片2714上的光具组(如透镜2708、2710和物镜2712所示)透射。如图所示,聚焦不同束以将它们的照射模式提供给基片的不同子集。例如,如各束的示意性展开图所示,可采用交织各组件2704的照射模式以提供多重补充,但基片2714上的交织照射模式,例如图26所示的模式。或者,可将不同的波束模式引导到基片上完全不同的区域,例如各象限等,以照射不同基片区域。
图27B显示实现高多路性的许多DOE组件设置,包括5个DOE阵列组件(a)和(d),7个DOE阵列组件(b)和(e),和9个DOE阵列组件(c)和(f)。根据多路性水平和所需照射模式,还可采用其它设置。在优选的方面,除了以角间距均匀地将各入射束在照射模式中分成大量波束外,将阵列中各DOE组件设置成具有不同的偏置角展宽。例如,对于图27B所示的9个刻面DOE组件,阵列中的各DOE组件或刻面可从单一入射束提供100×100个波束。这些波束在θx和θy角间距上可均匀地间隔,例如0.1mrad。第一DOE(1号)的偏置角展度在θx方向是,例如5mrad,第二DOE(2号)在θx和θy角间距上偏5mrad,第三DOE(3号)在θy角间距上偏5mrad,如此类推。
应该知道以及上文暗示的,各DOE可用于将一个或多个照射束转化成引向基片的波束模式。
E.多路检测
类似于应用于本文所述分析系统的照射侧的多路方法,除此类方法之外或作为备选方法,本发明还对增强该系统的收集侧的多路性,例如收集和检测从基片上反应区域发出的信号的光学路径。具体地说,对于超高多路性系统能力的至少一个局限之处在于检测大量分立区域,例如基片上发出的信号的能力。应该知道,在任何给定时间检测基片上不同区域的信号的预期实际局限性将受限于给定检测系统中的像素或检测元件数。
具体地说,给定检测器像素仅能检测入射于其上的信号,不作其它考虑的话,不能区分一个来源的信号于另一来源或组合来源的信号。然而,应该知道,每个像素一个信号的实际局限性本身并非完全实际,因为检测多个像素的信号提供大量不同的信息,例如光谱信息、阵列位置等(参见,例如公布的美国专利申请号2007-0206187、公布的国际专利申请号WO 2007/095119和美国专利申请号12/134,186,2008年5月5日提交,各自的全部内容出于所有目的通过引用纳入本文)。类似地,分开阵列上的信号组分有助于防止信号交叉污染。作为这些和其它考虑的结果,在多路方法中,最好优化检测器阵列区域的有效应用。
在第一个实例中,可将基片上反应区域阵列发出的信号引导至检测器阵列的不同区域或甚至完全不同的检测器阵列以最佳检测高度多路基片的信号。在相关的实例中,可将各信号源的不同信号组分引导至不同检测器。
或者,与照射的时间切换一样,可在时间上分离基片的不同区域发出的信号,但在不同时间引导至基片的相同、重叠、毗邻或分立的位置。同样,以超过,优选实质性超过最慢信号持续时间的频率应用此类切换,此类时间分离可以无缝检测所需信号事件。此外,通过同步进行信号分析过程与切换,不难给信号事件指定基片上的给定位置,即使在此类信号入射到检测器阵列的相同位置上。应该知道,如同上文讨论的切换靶向照射模式一样,相同或相似组件可用于信号方向的光学切换。此外,应该知道,LCD掩模(例如上述的)也可用于收集途径以选择性打开和关闭分立反应区域或反应区域子集的收集途径。
通过在不同信号源之间“分享”检测器的检测区域,虽然时间点不同,但与不采用时间倍增的方法相比,可倍增采用单一检测器或检测器组可检测的信号源的数量。
在相关方面及上文暗示的,可将包含大量分立反应区域的单个基片分成多个不同的子视场(子-FOV),各自可引向不同检测器以便提供高度多路性基片。为有效而同时监测这些子-FOV,优选维持实现该监测方法的单一光具组。因此,在一方面,本发明提供照射基片上多个反应区域的光学系统,但其将多个反应区域子集各自的信号引导至不同检测器。
收集基片的不同区域的荧光信号并将它们透射至不同检测器的光学系统的实例示意性地示于图28。如图所示,系统2800包括基片2802,其上或其内布置有多个分立的反应区域或感兴趣区域。将基片2802,特别是分析的区域布置在物镜2804的前焦平面。如图所示,物镜2804和镜筒透镜2806将感兴趣区域在透镜2806背焦平面,接近反射掩模2808的中间图像平面上成像。反射掩模2808分开图像,将子集反射到检测器2810,一部分反射到检测器2812。反射掩模的顶点通常设置在焦平面,从而没有保真度损失。还显示这些检测途径各自包括光谱分离光学元件,例如分别是聚焦透镜2814和2816,2818和2820以及颜色分离的分散光学元件,例如分别是光栅2822和2824。虽然显示的是将图像分成两个独立检测的图像,但应该知道,反射掩模或其它分图像光学元件可设置成将图像分成大量图像组分,例如2、3、4、8、16或更多分立的图像组分,各自可引向不同检测器。
如前文所暗示的,可通过许多方式分离图像。例如,在简单的方面,反射掩模2808可包含,例如具有不同偏置区段的针-轮镜(pin-wheel mirror),其中各刻面将一部分图像反射到不同检测途径。或者,采用微型棱镜或微型镜阵列,例如作为反射掩模2808以将子-FOV各自的图像引导至不同检测途径,其具有刻面表面阵列起到将入射光线引入所需方向的作用。应该知道,按照本发明,可将此类阵列设置成将图像分成许多分立的组成型图像。
在相关系统中,可将基片上各种信号源的不同信号组分差异性引导到不同检测器。具体地说,在一个检测器系统中,例如图22所示,在空间上分开多个信号组分,例如通过分散性光学元件2216,并在检测器2220上成像。对于将各种信号源紧密堆积在阵列上的超高多路阵列基片,在空间上分离各种信号组分的图像的能力变得更为困难,因为检测器区域不足以容纳隔开的组件,它们开始对检测器阵列的实质性重叠的部分作图。通过将不同信号组分引向不同检测器,可以对基片上更紧密堆积的信号源成像。这示意性示于图29A。具体地说,如示意性图像2902所示,4个信号组分,例如空间上不同且分开的荧光信号图像(2904-2910)在检测器阵列的一部分上成像。通过将信号组分的子集,例如信号2904/2906和2908/2910差异性引导至不同检测器,信号源,例如ZMW的有效成像数量几乎翻倍,而没有信号重叠的顾虑。可成像信号源规模的数量以及检测器的数量,例如2个检测器能对最多2X信号源成像。然而,在优选的情况中,对于在毗邻信号源之间作区分的额外间隔要求可能需要不同来源的信号组分之间有更大的间隔。因此,可成像信号源的数量可以不精确地遵循检测器数量。
图29B示意性显示了实现上述检测多路性的光学系统。在所示系统中,系统的激发光学元件类似于图22所示系统的装置,例如在物镜和基片之间有分色滤光片。具体地说,如图所示,总系统2950包括一个或多个激发光源,例如激光2952和2954。与所有此类系统一样,可根据具体应用的需要,例如系统中所用各种荧光团的激发波长和所用激光的波长而改变激发源的数量。在所示的示意性系统中,通过在分色镜2956处引导两个激发光源(照射光源)而将二者组合在同一光学途径中,该分色镜透射激光2954的光而反射激光2952的光束,从而两个光束变为共线。在所示系统中,激发束仍对准额外的分色镜2958,其用于对着基片2960反射激发光,而将不同波长的荧光信号透射到收集物镜2962。如图所示,信号首先反射离开光具组中另一组件,例如不同镜的表面,或基片的背面,例如上文关于图22所述。然后来自基片2960的光谱上不同的信号组分(例如不同荧光标记试剂发出的)通过分色镜2958和物镜2962。然后使信号组分通过分散性光学元件,例如楔形棱镜2964而将它们分成光谱上不同的信号组分(从棱镜2964发出的实线和虚线箭头所示)。然后使信号组分对准分散镜2966,其反射信号组分的一个子集而透射信号组分的另一子集。然后信号组分的不同子集各自在检测器2968或2970上成像。如上所示,虽然显示的具有两个检测器,但应该知道可利用其它检测器对信号组分的进一步子集成像,例如可具有4个信号组分,各自利用其它分色镜引向不同检测器。
F.玻璃填充的物体空间
本发明一方面提供具有玻璃填充光学空间的改善光学系统。如本文所述,用于分析反应区域阵列的光学系统通常具有照射光学元件和收集光学元件,所述反应区域具有,例如荧光试剂以便分析化学反应,所述照射光学元件打在反应区域上而所述收集光学元件检测反应区域所发生反应发出的光线。在一些情况中,可利用分色元件控制照射和收集系统的光学途径,例如对于照射波长的光作为镜子,但透射发射波长的光。在一些情况中,利用自立分色镜可导致光学偏差和损失。在本发明中,嵌有分色元件的成形光学模块纳入照射光学元件和样品之间以及收集光学元件和样品之间的光学空间。光学模块的折射率通常高于空气的。折射率较高,给定入射光瞳直径的检测光学元件收集的发射光线的比例较高。此外,分色镜嵌在光学模块内,射入该模块的射线偏离垂直的角度和锥角降低,从而在一些情况中导致更好的分色光谱性能并增加系统灵敏度。
包含成形光学模块的系统的示意性实施方式示于图30。照射(激发)光学元件3030引导照射光线3035通过面3001进入光学模块3000。在所示实施方式中,照射光线反射离开面3002,该面的区域3070中用反射材料涂布以增强内部反射。然后照射光线反射离开嵌入的分色元件3010,通过面3002进入包含多个反应区域的基片3020,而所述反应区域具有可通过检测荧光事件测量的反应。反应区域发出的光线3045,例如荧光射线通过面3002进入模块,经分色元件3010透射。在所示实施方式中,基片3020与光学模块3000光学偶联以最大程度减少反射损失和偏差。然后发出的光线通过面3003离开成形光学模块,进入收集光学元件3040。虽然图30显示照射光线反射,发射光线透射,但在一些实施方式中,嵌入的分色镜透射照射光线而反射发射光线。
透射照射光线和收集光线的成形光学模块各面可以是平面,可以布置成一定角度从而最大程度提高光学性能。例如,可将各面制成与相关光具组的主要射线垂直。以此模式,成形模块可为偏差修正的目的而用作厚的不倾斜平板。在一些实施方式中,成形光学模块的一个或多个面可弯曲以使通过该面的光线转向,从而提供透镜功能。可视需要将各面弯成凹的或凸的以使照射光线或发射光线转向。
通常预计光学模块包含系统的主要光程长度。即,通过该光学模块的光程长度是照射光学元件和基片之间,或收集光学元件和基片之间总光程长度的至少50%、至少60%、至少70%、至少80%、至少90%、至少95%、至少98%、至少99%或更多。在一些情况中,至少光学元件、基片、收集光学元件或以上的任何组合与折射率高于空气的材料的成形光学模块光学偶联。例如,可利用折射率与成形光学模块或另一组件近似匹配的液体、油或聚合物光学偶联各元件。
分色元件嵌在成形光学模块中,表明分色元件与该模块光学接触,分色元件与光学模块之间的空气空间通常很小或没有。在一些情况中,分色元件可以是模塑或形成成形光学模块。在其它情况中,分色元件可与光学模块的各部分偶联,例如用液体、油或聚合材料。
成形光学模块由在感兴趣波长下透明的材料制成。该模块可由,例如玻璃状氧化物材料制成。该模块可包含,例如二氧化硅或氟化钙。可掺入添加剂以调节该模块的折射率和其它特性。在一些情况中,模块在感兴趣波长下具有约1.1到约5.0的折射率是理想的。折射率可以是,例如介于约1.3到约2.5或约1.4到2.0。虽然在一些情况中,折射率高是理想的,但在一些情况中,材料具有较高折射率易具有较高水平的自身荧光。因此,我们发现折射率在这些范围内的材料特别有用。模块优选在用于分析的波长范围具有低水平的自身荧光。分析系统通常利用可见光,例如约400nm到约700nm。还可利用红外和紫外光。照射光的波长通常与发射光的波长不同。
III.实施例
实施例1:抛物面镜基片
将基片制造成具有通过金属层布置的零模式波导,所述金属层沉积在玻璃基片限定的抛物面元件上。按照图10所示方法和相伴的描述制造装置,除了未进行基片的后续平整化(步骤V-VII)。利用聚焦离子束(FIB蚀刻方法)通过金属层制造限定零模式波导核心的孔隙,从而将核心直径限定为约120nm。扫描电子显微术(SEM)下的所得结构示于图31A,从该结构的金属层侧成像。零模式波导的核心显示为该结构中心顶部的开口。
还提供具有零模式波导的比较基片,其截面尺寸大致相同,布置在平面玻璃基片上的平面金属层中,例如不具有任何抛物面或其它反射结构,如图31B所示。测试反射结构对于传送到达或来自零模式波导核心的光线的操作。将荧光染料沉积在两个不同波长结构的开放侧,用相同激发射线照射二者并检测发出的荧光。根据两个比较基片各自的一组零模式波导的平均荧光强度,发现布置在反射结构上的波导提供的荧光信号比传统ZMW的强6倍,表明整个系统的收集效率增强。
实施例2:圆锥镜基片的理论建模
分别利用图32和33所示的各种组件对结构建模。例如,对于图32所示的直圆锥结构,如下所示设置尺寸:d1=1.25μm;d2=5μm和h=4.69μm。该模型假定用于收集基片/反射组件发出的光线的物镜具有0.5的数值孔径,相对于光轴的最高收集角度为+/-20度,从而相对于基片底表面提供的收集角度为70-100度。根据图32显示的圆锥模型,反射离开圆锥的射线角度是43.58(θtr)到105.52(θbr)度。
对于图33所示的两个分段圆锥反射器,直径设置为d1=1.25μm;d2=3μm;d3=5μm;h1=1μm;和h2=3.69μm。在该情况中,反射并离开反射器的射线角度为82.37(θtr)到116.06(θbr)度。
对于两种前述结构方案,物镜的光子收集效率预计为约70%,相比之下,不包含反射组件,例如布置在透明基片层上的零模式波导的基片的收集效率为约42%,如图31B所示,采用数值孔径为0.95的收集物镜。该分析预计因纳入微型镜而改善了光学性能,但该分析基本上是射线追踪分析,不包括衍射或波效应,因此不提供预计性能的完整图像。还进行了利用基于载体的工具进行的分析,证实本发明微镜结构的光学改进。
实施例3:利用稀释支柱在微型镜结构上产生纳米级反应区域的阵列
350℃下,通过LPCVD在熔凝二氧化硅上沉积500nm的多晶锗层。利用248nm步进器,Lam蚀刻器,宽度从300nm到120-150nm可调,在多晶锗中蚀刻出支柱。利用248nm步进器和Centura-MXP蚀刻器蚀刻镜子。然后在600℃使阵列退火以减少自身荧光并除去有机污染物的气体,然后蒸发铝和并在湿溶液(30%H2O2,50℃)中脱盖(除去多晶锗),而不侵蚀镜刻面的铝。图34显示SEM显微照片,其显示与微型镜相关的反应区域阵列一部分。图35显示在顶部具有反应区域的单一微型镜结构。图36显示微型镜结构顶部的纳米级反应区域。
实施例4:采用牺牲锗层和硬质涂布掩模产生纳米级反应区域
依次用多晶锗层和硬质掩模层,例如二氧化硅或氮化硅涂布熔凝二氧化硅基片。采用照相平板印刷术限定直径约380nm的圆形阵列,蚀刻硬质掩模以产生具有大致这些尺寸的硬质掩模圆盘。然后利用30%过氧化氢水溶液,采用受控过蚀刻蚀刻锗层以在硬质掩模圆盘下产生直径约200nm的锗支柱。可通过调节条件和材料来控制蚀刻速度。例如,利用未掺杂的多晶-Ge,50℃下30%过氧化氢水溶液的蚀刻速度是约460纳米/分钟,利用掺杂的多晶-Ge,室温下30%过氧化氢水溶液的蚀刻速度是约200纳米/分钟。将铝在表面上溅射约100nm的厚度。利用过氧化氢水溶液,加热至约50℃以上除去多晶锗支柱。SEM显微照片证实在熔凝二氧化硅上的铝膜中产生200nm-250nm直径的孔。
实施例5:在熔凝二氧化硅基片上产生圆锥微型镜结构
图37显示在熔凝二氧化硅基片上形成的示范性圆锥微型镜结构的扫描电子显微照片。图37(A)所示圆锥结构顶部的直径检测为约2.40微米,底层的直径为约4.90微米。图37(B)所示圆锥结构顶部的直径检测为约1.68微米,底层的直径为约4.18微米。经测定,熔凝二氧化硅基片制成的其它微型镜结构(未显示)的顶部直径/底部直径/高度约为:1.30/3.96/3.45微米、1.49/4.17/3.18微米、1.68/4.34/3.30微米、2.79/5.27/3.36微米、2.55/5.60/3.23微米、2.66/5.38/3.72微米。
图37(C)显示涂布有铝的圆锥微型镜结构,通过该微型镜结构上的铝层具有零模式波导孔隙。
图38(A)-(E)显示在熔凝二氧化硅基片中产生的圆锥结构的SEM显微照片。图38所示结构对应于,例如图15所述方法的步骤(II)后的结构。图39显示在底部具有孔隙的微型镜的截面的SEM显微照片。图39所示结构对应于图15所述方法的步骤(VIII)后形成的结构。在该结构中,平整化层,例如旋涂玻璃围绕微型镜结构。
图40显示在熔凝二氧化硅基片上产生的微型镜结构的阵列,各结构在顶部具有孔隙。
实施例6:圆锥微型镜结构的增益检测
进行检测以测定利用与零模式波导偶联的微型镜结构获得的增益量。利用FuSi制备基片,其在微型镜的顶部具有ZMW结构并在基片的平面部分具有相当的ZMW结构。图41示意性显示了实验性装置,其中光线经基片上的ZMW结构透射。用光具组收集经ZMW结构透射的光线并用CCD照相机检测。光线检测系统的数值孔径是0.5。表1列出了基片平坦区域上的ZMW结构和微型镜结构顶部的ZMW结构的代表性检测值。从这些检测值计算的增益为约5.9。应该知道检测的增益取决于收集光学元件的数值孔径。
表1:微型镜结构增益的检测值
实施例7:微型镜上反应区域中的DNA测序
从500μm厚FuSi基片制备微型镜结构的阵列,所述结构各自在去顶表面包含一个零模式波导孔隙。采用接触平版印刷与抗蚀剂逆流和3微米深层反应离子蚀刻(RIE),然后通过溅射平滑处理和400℃退火,在基片中形成微型镜结构。然后在表面上溅射涂布200nm Al层。使Al层形成图案,蚀刻在接近微型镜结构顶部的中心产生120nm直径ZMW。采用过蚀刻,从而ZMW孔隙向FuSi基片中延伸约50nm。代表性微型镜结构经测定具有顶部直径约3.4微米,底部直径约6.8微米。如Eid等,Science,323,133-138(2009)所述,利用类似于Lundquist等.,Optics Letters,33,1026(2008)所述,数值孔径为0.3的光学系统进行单分子测序反应。图42显示线形模板上2-色测序反应收集的强度对时间数据的一部分,所述模板具有碱基C和G的区段,分别如Eid等所述通过染料标记的核苷酸647G和555C识别。图42的深色峰对应于647G,淡色峰对应于555C,从而显示了利用零模式波导和微型镜阵列的核酸测序。可采用类似方式进行4-色测序,如Eid等所述。
虽然出于说明的目的作了详细描述,但不难知道,可在本发明的范围内实施本领域技术人员知道或想到的许多改变。对于本文还没有明确包含的内容,本文述及的所有出版的参考文献和专利文件出于所有目的通过引用全文纳入本文。

Claims (12)

1.一种微型镜阵列,包含:
a)具有上表面的透明基片,该上表面包含多个特征的阵列,其中所述特征的顶部和侧部包含反射涂层;和
b)通过该反射涂层延伸到所述透明基片中的孔隙的阵列,其中每个特征的顶部包含至少一个孔隙,其中所述多个特征的阵列的侧部上的反射涂层使从孔隙阵列中通过所述透明基片射出的光线转向。
2.如权利要求1所述的微型镜阵列,其特征在于,所述孔隙阵列中的每一个孔隙包含零模式波导。
3.如权利要求1或2所述的微型镜阵列,其特征在于,所述特征包括抛物面形、圆锥形、截短的圆锥形、或角锥形。
4.如权利要求3所述的微型镜阵列,其特征在于,所述特征包含具有顶部的截短圆锥,所述顶部的直径介于1.5微米和4微米之间。
5.如权利要求3所述的微型镜阵列,其特征在于,所述特征包含具有顶部的截短圆锥,所述顶部的直径介于2微米和3微米之间。
6.如权利要求3所述的微型镜阵列,其特征在于,所述特征包含截短的圆锥,所述圆锥距离垂直的侧壁角度介于介于10度和30度之间。
7.如权利要求3所述的微型镜阵列,其特征在于,所述特征包含具有顶部的截短圆锥,所述顶部的直径介于0.5微米和5微米之间。
8.如权利要求3所述的微型镜阵列,其特征在于,所述特征包含截短的圆锥,所述圆锥距离垂直的侧壁角度介于5度和40度之间。
9.如权利要求1所述的微型镜阵列,其特征在于,所述孔隙阵列包括一种位于具有顶表面和底表面的所述透明基片的顶表面上的零模式波导阵列,其中所述微型镜阵列中的每个微型镜使从所述零模式波导阵列中的零模式波导射出的离开所述透明基片的底表面的光线转向。
10.一种检测零模式波导阵列中的分子事件的系统,该系统包含:
a)如权利要求9所述的微型镜阵列;和
b)通过所述透明基片的底表面对所述零模式波导提供照射光束的照射系统,
其中,所述微型镜阵列使所述照射光束转向到所述零模式波导,以及
其中,将所述系统设置成所述微型镜与所述照射光束相互作用从而所述照射光束经历相长干涉,藉此所述零模式波导处的光的强度相对于其它照射区域增加了。
11.一种测量零模式波导阵列中的分子事件的方法,该方法包括:
a)提供如权利要求9所述的微型镜阵列;和
b)利用照射光束的阵列通过所述透明基片的底表面来照射零模式波导,
其中,所述微型镜阵列使所述照射光束的阵列转向到所述零模式波导,以及
其中,所述照射光束与所述微型镜相互作用从而所述照射光束经历相长干涉,藉此所述零模式波导处的光的强度相对于没有相长干涉的光的强度增大了。
12.一种使用如权利要求2或9所述的零模式波导阵列来分析单分子特性的方法,包括:
a)将具有光学特征的单分子置于零模式波导的孔隙内,其中所述零模式波导光学地耦合到微型镜;
b)用照射光线来照射所述零模式波导;
c)用检测器收集从所述零模式波导中射出的光线,其中所述微型镜使得射出的光线中的至少一些转向所述检测器;和
d)利用收集到的射出光线来确定所述单分子的特性。
CN200980148101.0A 2008-09-30 2009-09-25 超高多路分析系统和方法 Active CN102227659B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10155508P 2008-09-30 2008-09-30
US61/101,555 2008-09-30
US22362809P 2009-07-07 2009-07-07
US61/223,628 2009-07-07
PCT/US2009/005319 WO2010039199A2 (en) 2008-09-30 2009-09-25 Ultra-high multiplex analytical systems and methods

Publications (2)

Publication Number Publication Date
CN102227659A CN102227659A (zh) 2011-10-26
CN102227659B true CN102227659B (zh) 2014-12-24

Family

ID=42074058

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980148101.0A Active CN102227659B (zh) 2008-09-30 2009-09-25 超高多路分析系统和方法

Country Status (6)

Country Link
US (3) US8247216B2 (zh)
EP (1) EP2362947B1 (zh)
CN (1) CN102227659B (zh)
AU (1) AU2009300369B2 (zh)
CA (1) CA2738626C (zh)
WO (1) WO2010039199A2 (zh)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8975216B2 (en) * 2006-03-30 2015-03-10 Pacific Biosciences Of California Articles having localized molecules disposed thereon and methods of producing same
AU2009300369B2 (en) 2008-09-30 2014-08-14 Pacific Biosciences Of California, Inc. Ultra-high multiplex analytical systems and methods
US8451448B1 (en) * 2008-10-16 2013-05-28 Oceanit Laboratories, Inc. Method and apparatus for generating and positioning micro-scale evanescent fields
US8501406B1 (en) 2009-07-14 2013-08-06 Pacific Biosciences Of California, Inc. Selectively functionalized arrays
US8367159B2 (en) 2009-09-11 2013-02-05 Pacific Biosciences Of California, Inc. Methods for producing ZMWs with islands of functionality
WO2011031313A2 (en) 2009-09-11 2011-03-17 Pacific Biosciences Of California, Inc. Zero-mode waveguides with non-reflecting walls
AU2011217862B9 (en) 2010-02-19 2014-07-10 Pacific Biosciences Of California, Inc. Integrated analytical system and method
US8994946B2 (en) * 2010-02-19 2015-03-31 Pacific Biosciences Of California, Inc. Integrated analytical system and method
DE102010016382B4 (de) * 2010-04-09 2022-06-02 Leica Microsystems Cms Gmbh Fluoreszenzmikroskop und Verfahren zur Durchführung von Multipositionierungen in einer Screening-Applikation
TW201137489A (en) * 2010-04-28 2011-11-01 Hon Hai Prec Ind Co Ltd Light blocking member, method for making same and lens module having same
TW201202123A (en) * 2010-07-06 2012-01-16 Hirose Tech Co Ltd Manufacturing equipment of nano-scale structure array and production method
EP2689028B1 (en) 2011-03-23 2017-08-30 Pacific Biosciences Of California, Inc. Isolation of polymerase-nucleic acid complexes and loading onto substrates
US9267917B2 (en) 2011-11-04 2016-02-23 Pacific Biosciences Of California, Inc. Nanopores in zero mode waveguides
JP5880064B2 (ja) 2012-01-18 2016-03-08 セイコーエプソン株式会社 試料分析素子および検出装置
JP5923992B2 (ja) * 2012-01-18 2016-05-25 セイコーエプソン株式会社 試料分析素子および検出装置
KR20130085762A (ko) * 2012-01-20 2013-07-30 삼성전자주식회사 마이크로 렌즈, 이를 구비하는 장치 및 마이크로 렌즈 제조 방법
US8846129B2 (en) * 2012-02-13 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Biological sensing structures and methods of forming the same
WO2013126271A1 (en) * 2012-02-21 2013-08-29 Inscopix, Inc. Systems and methods for utilizing microscopy
US8883021B2 (en) * 2012-03-30 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS nanostructures and methods of forming the same
JP2013221883A (ja) 2012-04-18 2013-10-28 Seiko Epson Corp 試料分析素子および検出装置
JP2013234977A (ja) 2012-05-11 2013-11-21 Seiko Epson Corp 試料分析素子並びに検査装置およびセンサーカートリッジ
US9372308B1 (en) 2012-06-17 2016-06-21 Pacific Biosciences Of California, Inc. Arrays of integrated analytical devices and methods for production
US9823415B2 (en) 2012-09-16 2017-11-21 CRTRIX Technologies Energy conversion cells using tapered waveguide spectral splitters
US9952388B2 (en) 2012-09-16 2018-04-24 Shalom Wertsberger Nano-scale continuous resonance trap refractor based splitter, combiner, and reflector
US9112087B2 (en) * 2012-09-16 2015-08-18 Shalom Wretsberger Waveguide-based energy converters, and energy conversion cells using same
WO2014099776A1 (en) 2012-12-18 2014-06-26 Pacific Biosciences Of California, Inc. Illumination of optical analytical devices
WO2014113503A1 (en) * 2013-01-16 2014-07-24 QMAT, Inc. Techniques for forming optoelectronic devices
WO2014130033A2 (en) * 2013-02-21 2014-08-28 Empire Technology Development Llc Shape memory alloy apparatus and methods of formation and operation thereof
EP2959283B1 (en) 2013-02-22 2022-08-17 Pacific Biosciences of California, Inc. Integrated illumination of optical analytical devices
WO2014194028A1 (en) 2013-05-31 2014-12-04 Pacific Biosciences Of California, Inc Analytical devices having compact lens train arrays
US10415084B2 (en) * 2013-06-27 2019-09-17 Quark Biosciences Taiwan, Inc. Multiplex slide plate device and operation method thereof
US9416414B2 (en) 2013-10-24 2016-08-16 Pacific Biosciences Of California, Inc. Delaying real-time sequencing
JP6573899B2 (ja) 2013-11-17 2019-09-11 クアンタム−エスアイ インコーポレイテッドQuantum−Si Incorporated 分子をプローブし、検出し、及び分析するための、外部光源を備えた集積デバイス
US9354390B2 (en) 2013-12-11 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing
WO2015109590A1 (en) * 2014-01-27 2015-07-30 Empire Technology Development Llc Light field filter
US20160013085A1 (en) * 2014-07-10 2016-01-14 Applied Materials, Inc. In-Situ Acoustic Monitoring of Chemical Mechanical Polishing
JP6812341B2 (ja) 2014-08-08 2021-01-13 クアンタム−エスアイ インコーポレイテッドQuantum−Si Incorporated 分子の探索、検出及び解析のための光学システム及びアッセイチップ
KR102384909B1 (ko) 2014-08-08 2022-04-11 퀀텀-에스아이 인코포레이티드 수신된 광자들의 시간 비닝을 위한 집적 디바이스
CN106796176B (zh) 2014-08-08 2021-02-05 宽腾矽公司 用于对分子进行探测、检测和分析的带外部光源的集成装置
EP3183367B1 (en) 2014-08-19 2019-06-26 Pacific Biosciences Of California, Inc. Compositions and methods for enrichment of nucleic acids
WO2016033207A1 (en) * 2014-08-27 2016-03-03 Pacific Biosciences Of California, Inc. Arrays of integrated analyitcal devices
EP3234982B1 (en) 2014-12-19 2019-03-06 Siemens Healthcare Diagnostics Inc. Sensor array with anti-diffusion region(s) to extend shelf life
US10302972B2 (en) 2015-01-23 2019-05-28 Pacific Biosciences Of California, Inc. Waveguide transmission
EP4220256A1 (en) 2015-03-16 2023-08-02 Pacific Biosciences of California, Inc. Analytical system comprising integrated devices and systems for free-space optical coupling
US10174363B2 (en) 2015-05-20 2019-01-08 Quantum-Si Incorporated Methods for nucleic acid sequencing
EP3304383B1 (en) 2015-05-26 2021-07-07 Pacific Biosciences of California, Inc. De novo diploid genome assembly and haplotype sequence reconstruction
WO2016201387A1 (en) 2015-06-12 2016-12-15 Pacific Biosciences Of California, Inc. Integrated target waveguide devices and systems for optical coupling
US11867556B2 (en) * 2015-07-29 2024-01-09 Samsung Electronics Co., Ltd. Spectrometer including metasurface
EP3377656A4 (en) 2015-11-18 2019-03-27 Pacific Biosciences of California, Inc. LOADING NUCLEIC ACIDS ON SUBSTRATES
WO2017087696A1 (en) 2015-11-18 2017-05-26 Pacific Biosciences Of California, Inc. Methods and compositions for loading of polymerase complexes
AU2017219894B2 (en) 2016-02-17 2021-12-09 Tesseract Health, Inc. Sensor and device for lifetime imaging and detection applications
US10908431B2 (en) 2016-06-06 2021-02-02 Shalom Wertsberger Nano-scale conical traps based splitter, combiner, and reflector, and applications utilizing same
US10544457B2 (en) 2016-06-14 2020-01-28 Pacific Biosciences Of California, Inc. Methods and compositions for enriching compositions for polymerase enzyme complexes
US10711300B2 (en) 2016-07-22 2020-07-14 Pacific Biosciences Of California, Inc. Methods and compositions for delivery of molecules and complexes to reaction sites
US10502830B2 (en) 2016-10-13 2019-12-10 Waymo Llc Limitation of noise on light detectors using an aperture
BR112019012540A2 (pt) 2016-12-22 2019-11-12 Quantum-Si Incorporated fotodetector integrado com pixel de acondicionamento direto
JP6439810B2 (ja) 2017-02-06 2018-12-19 横河電機株式会社 バイオチップ、バイオチップユニット、バイオチップ読取装置、及びバイオチップ製造方法
WO2018168137A1 (ja) * 2017-03-16 2018-09-20 ソニー株式会社 生体物質解析方法、生体物質解析装置、生体物質解析用プログラム及び生体物質解析システム
US11131601B2 (en) * 2017-11-30 2021-09-28 Rain Tree Photonics Pte. Ltd. Method for in-line optical testing
WO2019126734A1 (en) 2017-12-22 2019-06-27 Pacific Biosciences Of California, Inc. Modified biotin-binding proteins for immobilization
JP7354131B2 (ja) 2018-03-13 2023-10-02 アプライド マテリアルズ インコーポレイテッド 化学機械研磨中の振動のモニタリング
NL2021258B1 (en) * 2018-06-14 2019-12-20 Illumina Inc Device for luminescent imaging
WO2019246328A1 (en) 2018-06-22 2019-12-26 Quantum-Si Incorporated Integrated photodetector with charge storage bin of varied detection time
EP3814531A4 (en) 2018-06-29 2022-04-06 Pacific Biosciences Of California, Inc. METHODS AND COMPOSITIONS FOR DELIVERING MOLECULES AND COMPLEXES TO REACTION SITES
CN111235004B (zh) * 2020-01-17 2023-11-07 中国科学院苏州生物医学工程技术研究所 一种基因测序芯片的制备方法
US11060138B1 (en) * 2020-01-17 2021-07-13 Element Biosciences, Inc. Nucleic acid sequencing systems
US11644617B1 (en) 2020-09-22 2023-05-09 Apple Inc. Systems having fibers with antireflection coatings
CN112779152A (zh) * 2020-12-30 2021-05-11 中国科学院长春光学精密机械与物理研究所 一种基因测序芯片及系统
CN112965164A (zh) * 2021-02-24 2021-06-15 中国科学院苏州生物医学工程技术研究所 一种零模波导器件及其制备方法
CA3219059A1 (en) * 2021-05-04 2022-11-10 Pacific Biosciences Of California, Inc. Arrays of integrated analytical devices with reduced-scale unit cell
CN113940699A (zh) * 2021-10-11 2022-01-18 电子科技大学 一种超声探头自定位装置及其自定位方法
CN117347341B (zh) * 2023-12-05 2024-03-19 中国科学院苏州生物医学工程技术研究所 荧光检测器件及制备方法、荧光检测系统和荧光检测方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649403B1 (en) * 2000-01-31 2003-11-18 Board Of Regents, The University Of Texas Systems Method of preparing a sensor array

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US206187A (en) 1878-07-23 Improvement in paper-pulp screens or dressers
US4649280A (en) 1985-05-10 1987-03-10 The University Of Rochester Method and system for the enhancement of fluorescence
EP0473989B1 (en) 1990-08-24 1995-06-14 Matsushita Electric Industrial Co., Ltd. Light beam irradiation apparatus and method
US7267948B2 (en) 1997-11-26 2007-09-11 Ut-Battelle, Llc SERS diagnostic platforms, methods and systems microarrays, biosensors and biochips
GB9810350D0 (en) 1998-05-14 1998-07-15 Ciba Geigy Ag Organic compounds
JP4091212B2 (ja) * 1999-05-24 2008-05-28 株式会社リコー 光書込み装置
US6917726B2 (en) 2001-09-27 2005-07-12 Cornell Research Foundation, Inc. Zero-mode clad waveguides for performing spectroscopy with confined effective observation volumes
AU2002239779A1 (en) 2000-10-27 2002-06-18 David P. Dumas Apparatus for light directed chemical synthesis
US7655475B2 (en) 2001-01-23 2010-02-02 Fluorocap Limited Luminescence based sensor using protuberances to redirect light
CA2459703A1 (en) 2001-09-11 2003-03-20 Dublin City University A luminescence-based sensor assembly
JP4221942B2 (ja) 2002-03-27 2009-02-12 日産自動車株式会社 燃料電池システム
US20050053974A1 (en) 2003-05-20 2005-03-10 University Of Maryland Apparatus and methods for surface plasmon-coupled directional emission
US7315503B2 (en) 2004-09-03 2008-01-01 Angstrom, Inc. Optical pick-up device using micromirror array lens
TW200604526A (en) 2004-06-18 2006-02-01 Unviersity Of Minnesota Identifying virally infected and vaccinated organisms
US7170050B2 (en) * 2004-09-17 2007-01-30 Pacific Biosciences Of California, Inc. Apparatus and methods for optical analysis of molecules
JP2008513782A (ja) 2004-09-17 2008-05-01 パシフィック バイオサイエンシーズ オブ カリフォルニア, インコーポレイテッド 分子解析のための装置及び方法
CA2631248C (en) 2005-11-28 2016-01-12 Pacific Biosciences Of California, Inc. Uniform surfaces for hybrid material substrates and methods for making and using same
US7692783B2 (en) * 2006-02-13 2010-04-06 Pacific Biosciences Of California Methods and systems for simultaneous real-time monitoring of optical signals from multiple sources
US7715001B2 (en) 2006-02-13 2010-05-11 Pacific Biosciences Of California, Inc. Methods and systems for simultaneous real-time monitoring of optical signals from multiple sources
US20070236628A1 (en) * 2006-03-31 2007-10-11 3M Innovative Properties Company Illumination Light Unit and Optical System Using Same
US7486865B2 (en) * 2006-06-12 2009-02-03 Pacific Biosciences Of California, Inc. Substrates for performing analytical reactions
EP2047479A2 (en) 2006-07-26 2009-04-15 Ecole Polytechnique Federale De Lausanne (Epfl) Miniaturized optical tweezers based on high-na micro-mirrors
EP2150806A4 (en) 2007-05-10 2013-01-02 Pacific Biosciences California METHODS AND SYSTEMS FOR ANALYZING FLUORESCENT MATERIAL WITH LIMITED AUTOFLUORESCENCE
US7714303B2 (en) 2007-05-10 2010-05-11 Pacific Biosciences Of California, Inc. Methods and systems for analyzing fluorescent materials with reduced authofluorescence
CA2689626C (en) 2007-06-06 2016-10-25 Pacific Biosciences Of California, Inc. Methods and processes for calling bases in sequence by incorporation methods
WO2009059261A1 (en) 2007-11-03 2009-05-07 Solfocus, Inc. Improved monolithic mirror array
CA3063032A1 (en) * 2008-09-16 2010-03-25 Pacific Biosciences Of California, Inc. Substrates and optical systems and methods of use thereof
AU2009300369B2 (en) * 2008-09-30 2014-08-14 Pacific Biosciences Of California, Inc. Ultra-high multiplex analytical systems and methods
TW201140139A (en) * 2010-03-11 2011-11-16 Pacific Biosciences California Micromirror arrays having self aligned features

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649403B1 (en) * 2000-01-31 2003-11-18 Board Of Regents, The University Of Texas Systems Method of preparing a sensor array

Also Published As

Publication number Publication date
EP2362947A2 (en) 2011-09-07
WO2010039199A3 (en) 2010-09-23
US9689800B2 (en) 2017-06-27
US20150053641A1 (en) 2015-02-26
EP2362947A4 (en) 2012-10-24
US8993307B2 (en) 2015-03-31
WO2010039199A2 (en) 2010-04-08
CA2738626C (en) 2017-08-08
AU2009300369B2 (en) 2014-08-14
CN102227659A (zh) 2011-10-26
US20130023039A1 (en) 2013-01-24
CA2738626A1 (en) 2010-04-08
US20100099100A1 (en) 2010-04-22
AU2009300369A1 (en) 2010-04-08
US8247216B2 (en) 2012-08-21
EP2362947B1 (en) 2017-05-10

Similar Documents

Publication Publication Date Title
CN102227659B (zh) 超高多路分析系统和方法
US11467089B2 (en) Arrays of integrated analytical devices
US20210124115A1 (en) Arrays of integrated analytical devices and methods for production
US8288738B2 (en) Substrate for detecting samples, bio-chip employing the substrate, method of fabricating the substrate for detecting samples, and apparatus for detecting bio-material
TW201727141A (zh) 包含整合性帶通濾波器之光學裝置陣列及生產方法
TWI525353B (zh) 超高多工分析系統及方法
TW202244488A (zh) 具有縮尺單位單元之整合式分析裝置之陣列

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant