CN102119363A - 用于光刻的高深宽比模板、制作相同模板的方法、以及这种模板在纳米级基板射孔中的应用 - Google Patents

用于光刻的高深宽比模板、制作相同模板的方法、以及这种模板在纳米级基板射孔中的应用 Download PDF

Info

Publication number
CN102119363A
CN102119363A CN2009801316244A CN200980131624A CN102119363A CN 102119363 A CN102119363 A CN 102119363A CN 2009801316244 A CN2009801316244 A CN 2009801316244A CN 200980131624 A CN200980131624 A CN 200980131624A CN 102119363 A CN102119363 A CN 102119363A
Authority
CN
China
Prior art keywords
template
nanostructured
substrate
bottom substrate
photoetching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801316244A
Other languages
English (en)
Other versions
CN102119363B (zh
Inventor
阿明·萨利姆·穆罕默德
大卫·布鲁德
乔纳斯·贝尔格
穆罕默德·沙菲奎尔·卡比尔
文森特·代马雷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Smoltek AB
Original Assignee
Smoltek AB
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Smoltek AB filed Critical Smoltek AB
Publication of CN102119363A publication Critical patent/CN102119363A/zh
Application granted granted Critical
Publication of CN102119363B publication Critical patent/CN102119363B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/0027Devices or apparatus characterised by pressure means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacture Or Reproduction Of Printing Formes (AREA)
  • Catalysts (AREA)
  • Moulds For Moulding Plastics Or The Like (AREA)

Abstract

本发明涉及模板和制作高深宽比模板、印章的方法;和使用以光刻为目的的纳米结构以进行纳米级压印;和使用所述模板在材料和产品上创建穿孔。

Description

用于光刻的高深宽比模板、制作相同模板的方法、以及这种模板在纳米级基板射孔中的应用
技术领域
本发明涉及一种模板、一种形成用于光刻的高深宽比模板的方法、以及这种模板在纳米级基板射孔中的应用。
背景技术
迄今为止,CMOS器件的微型化一直遵循通常被称为摩尔定律的趋势,其中电子组件的尺寸每两年缩小一半。国际半导体技术发展线路图(ITRS)已经依据这个模型建立了预计增长曲线。依据该进展速率随之产生的对速率、高集成度、高性能和低生产成本的需求是非常严峻的。于是,与减少特征尺寸的需求相关的问题已经升级,这些问题中,在纳米级光刻转移图案较突出。因此,对于这些将在不久将来最终阻碍硅技术发展的问题,需要寻找替代方案。这就意味着制定低成本、大规模生产兼容图案转移方案的新方法对于维持预计增长率是关键的。在集成电路生产中光刻是一道重要的工艺,光刻用于在层与层之间转移图案。当今广泛使用的光学光刻技术是逼近其由光学、波长、镜头等支配的基本限度,而且不得不依赖昂贵的设备来应付当前需求。对该传统光刻方法的一种替代是专利US5772905中描述的纳米压印光刻,其中将具有纳米级特征的印章压入热塑性聚合物中。这个具体专利中,通过蚀刻制作印章以创建将被转移的图案,典型的金属、介质或半导体体材料用于创建该模具。印章往往是标记印章、模具、压膜或模板,纳米压印光刻往往称为“压印光刻”。采用印章进行压印的过程中加热聚合物。冷却后从该聚合物上去除印章,则已压印了纳米级的特征。图1中描述了这个工艺,其中印章100具有凸起102。这些凸起通常从硅基材料制备。
图1A中还可见晶圆105,具有将被制图的层104和沉积阻挡层103。图 1B示出了印章压向聚合物涂层的晶圆,该聚合物涂层的晶圆已被加热到该聚合物的玻璃化转变温度以上。冷却后提起印章,凹陷107出现在现已被制图的阻挡层103中,如图1C所示。采用等离子蚀刻除去不需要的残留物以获得聚合物层108,如图1D所示。使用该聚合物作为掩膜,通过蚀刻对层104进行制图(成为109),结果见图1E。除去剩余的聚合物,如图1F所示。能使用剥离工艺代替回刻工艺。然后沉积金属层到制图阻挡层的顶部,通过剥离阻挡层除去金属层中不需要的部分(位于阻挡层的顶部)。
当今的纳米压印印章从阻挡层遭受较差的释放机制。然而,该技术的一些改进之处已在专利US6309580中呈现,其中这些改进被描述为使用防粘材料应用到印章以提高释放性质。为更进一步提高释放性质,WO2006/028282在模具上使用碳纳米墙。专利US6943117中描述了印章寿命时间的另一个改进之处,通过使用SiC基板作为印章材料。专利US6943117中描述了印章的第三个改进之处,其中引入紫外线辐射(UV)压印光刻,用以避免标准纳米压印过程中由接触导致的压力和问题。该方法中使用透明基板,避免实施高压。然而,该方法仅限于针对UV透明基板的操作。
所生长的纳米结构是介于分子和微观(微米尺度)结构之间的中间尺寸的对象。过去的十年已经研究了数个不同类型的纳米结构,意味着其性质在不同结构方向各不相同。例如,碳纳米结构被认为是用于进一步发展纳米电子、纳机电系统(NEMS)、传感器、接触电极、纳米光子学和纳米生物技术的最有前途的候选的一种。这主要是基于它们的一维特性和独一无二的电学、光学和机械性质。至少因为其电学和热学性质以及其强度,已考虑将碳纳米管和碳纳米纤维不仅用于有源器件还作为中间连接技术。例如,碳纳米管的高迁移率(79,000cm2/Vs)超越了现代技术水平的MOSFET器件。最后,已报道单个纳米结构沿其自身轴向的弹性模量(代表材料的强度)高达1TPa。然而,横轴方向的弹性模量为较小数量级。因此,碳纳米管和碳纳米纤维是需要高强度应用中的好选择。
然而,就我们所知,没有人认识到可以采用将宏观尺度减少到纳米级的方案,用以使用生长的纳米结构作为装置来制备用于压印技术的模板/模具/装置, 以提供高深宽比、可回收的、可返修的模板/模具/装置。另外,从未认识到可以结合压印技术和纳米结构生长技术开发光刻方法以取代工业中使用传统光刻技术的可能性。
并且,从未使用具有纳米结构的模板以用于穿孔基板。
确定问题:
基板的选择:用于制备压印的典型基板是硅,硅是一种软而且易碎的材料,在重复的工艺步骤中易于断裂、损坏和磨损(Lee et al.,US7080596)。因此Lee等人通过使用具有高弹性模量的更高强度的SiC材料来改进印章的性质,从而提高印章的寿命时间。然而,所选择的材料仍然是具有各向同性材料性质的三维体材料。其它可选择的材料是氧化硅、氮化硅或其本质上还是表现出较弱各向同性弹性模量性质的金属。而且,采用SiC材料的问题在于形成印章的工艺中的固有难度和相关处理的复杂度和需要购买非常昂贵的基板作为开始基板材料。Jun-ho Jeong等人已在专利US6943117中介绍了一种不同的方法,其中描述了紫外线辐射(UV)结合压印光刻。其目的是避免标准纳米压印工艺中由接触聚合物层导致的压力和问题。该方法中,使用透明基板和避免实施高压。然而,本方法仅限于针对UV透明基板的操作且依赖紫外线。另外,该方法需要将模板附着到透明基板上,这将包含更加复杂的工艺、胶合和脱离。本发明中,通过采用各向异性材料增加第三维到印章性质的改进中以用于模板制备所述模板制备能够实现可循环、可修复和不依赖于基板选择和不依赖于辐射。然而,依据本发明能使用辐射作为连同印章的附加功能。
深宽比和间距相关的限制:通过当今的方法,不可能制备具有小间距的高深宽比(高于,即1∶10)结构,由于(a)高深宽比结构提供机械稳定性较弱的模板,工艺中将断裂(具有更短的寿命时间);(b)用于制备高度垂直的结构中包括耗时复杂的工艺;(c)可重复性较弱且不具经济性。而且对于电子工业的实际应用,当今DRAM堆叠电容器中用于互连的接触孔的深宽比已达12∶1,预计到2016年可增加到23∶1。在创建与直墙接触的高深宽比中提出了重大的技术挑战,不仅是因为该高深宽比特征中金属的无空洞填充极为困难。
不可能使用厚阻挡:根据使用当今技术获得的深宽比,由于压印柱体不能全部穿透阻挡层,因此不可能使用厚阻挡作为压印材料。这样,对于与标准光刻阻挡或厚阻挡层工作以用于图案转移的情况,其中所述图案转移对于以标准光刻/图案转移为目的的情况是重要的,标准印章变得不切实际。
不可循环:当今用于为压印制作印章或模板的基板由易碎的硅晶圆制成。当印章或模板变得无法使用时,通常会丢弃该基板。SiC基板的回收中,该材料因太硬无法重新处理,且需要精密的工艺步骤(由于多次使用印章,柱体或结构可能会断裂),因此SiC基板的回收是不现实的。
不可修复:与基板无关,当依据图1(标准方法)的凸起部分在工艺中断裂时,印章本质上变得没有用,而且由于技术问题和工艺相关的花费,模板的修复(去除当前版图,制备/转移设计到同一基板上,通常昂贵的电子束光刻用于图案转移)最终变得不可能。依据本发明,通过采用简单的超声或化学蚀刻或化学机械抛光去除现有的纳米结构图案,使模板变得可修复,然后从相同位置处重新生长纳米结构,从而获得与以前一样的模板。
大量废弃物的生成:当今技术限于重新使用基板或在同一基板上重新制备模板,因此通过丢弃不可修复的基板和印章产生大量的废弃物,从而变得不环保。依据本发明,将有可能在大范围实现重新使用和重新修复(除非基板全部断裂成碎片,成为硅),使得该技术更加环保。另外,依据本发明,能使用非易碎的任意基板作为基板/底层基板,而且能反复使用该基板以在同一基板/底层基板上重新创建模板。
工业应用:通常,对制备与现有互补金属氧化物半导体(CMOS)制备技术兼容的纳米模板的需求很高。在工业处理中探索纳米结构的前提是能够控制具有高度重复性器件的大规模生产。依据本发明,其中描述的方法使用标准化学气相沉积(CVD)来生长该具极其高产的纳米结构,期间控制精确位置、纳米结构的长度、直径、形状和方向。依据本发明,能在给定CMOS晶圆厂制作模板,使得发明的技术能投入工业应用。
因此,存在对纳米级模板的需求,该纳米模板在制作模板过程中是可循环的和可修复的,且使废弃物管理达到最小,且在不牺牲纳米级方案的条件 下增加了模板的寿命时间。除此之外,还有将高深宽比(高于1∶20)结构用于存储单元、纳米压印、压花、在纳米级高度有序的射孔材料的需要。
发明内容
本发明的目的在于全部或至少部分消除以上所确定的问题。
依据本发明的一方面,提供一种纳米级的模板或印章,所具有的特征包括(a)高深宽比;(b)可修复(c)可循环和(d)纳米压印模板或印章具有可控的形状。模板或印章包括底层基板,以取代处理基板来创建模板,和沉积在基板上的催化层。因此,可从确定光刻形貌(模板/印章/模具)的催化层生长至少一个纳米结构。本发明的一个方面中,能通过纳米结构的生长来控制纳米结构的深宽比。依据本发明,纳米结构的硬度高于通常使用的硅或氧化硅或氮化硅。该纳米结构具有高杨氏模量,用以在垂直压力下维持纳米结构的光刻形貌。依据本发明,本发明的一个方面中,基于纳米结构的模板能承受1Bar到200Bar以上的垂直压力,优选1Bar到60Bar。
本发明能够实现(a)生成用于光刻的高深宽比模板;(b)为仅使用薄阻挡层作为用于图案转移的印章层的需要去除;(c)采用不同控制的性质诸如形状、直径、长度、不同深宽比来复制模板图案;(d)制备高深宽比的半/全穿孔材料;(f)制备可控的低k介质材料;(g)可循环的压印印章/模板;(h)可修复的环保模板,其中应多种因素使得基板和模板的寿命时间增加。本发明的这些能力是现有技术无法企及的。
依据本发明能使用任意的固体、金属、半金属、陶瓷或聚合物来生成该模板。电子工业中使用的典型基板是硅、氧化硅、氮化硅、碳化硅、ITO、GaN、GaAs和聚合物。能使用任意诸如ITO、石英、玻璃、蓝宝石和金刚石的光学透明基板。能使用诸如聚酰亚胺、环氧树脂、硅氧烷(PDMA)、SU8、SAL601的任意聚合物作为基板。能使用元素周期表中的任意金属。一些典型的金属诸如铜(Cu)、钨(W)、钼(Mo)、铂(Pt)、钯(Pd)、钽(Ta)、锇(Os)、铬(Cr)、Ti、铁、钴(Co)、镍(Ni)是有效的。任意的合金和他们的化合物也是有效的,例如,NiCr、康铜(CuNi)、不锈钢等。
本发明的一个方面中,如果生长过程是催化控制的,则能从预定的催化剂生长纳米结构。然而,无催化剂的生长也是可行的。专利申请WO2006115453中公开了一种生长和控制纳米结构形状的方法,其中使用多种材料来控制纳米结构的生长性质,以及提供用于生长的纳米结构的稳定底层。最常使用的金属催化剂是Fe、Ni、NiCr、Au、Pt、Pd和Co。采用双金属催化剂Co-V、Co-Fe、Co-Ni、Co-Pt。Co-Y、Co-Cu和Co-Sn来生长纳米结构也是有效的。在催化层下能使用不同的材料来促进或控制生长的纳米结构的性质,如我们的专利(WO 2006/115453)所示。能够但不限于通过化学气相沉积法生长纳米结构。能实施诸如RPECVD、热CVD、PECVD的化学气相沉积法(CVD)以用于生长。能从纳米管、纳米线、纳米纤维、纳米针、纳米晶须和纳米锥或任意其它具有高杨氏模量的细长纳米结构形式组成的物质组中选择一种材料制成纳米结构。纳米结构能由碳原子或从诸如InP、GaAs、InGaAs、GaN、SiC、Si、ZnO及其化合物的元素周期表的Ⅲ-Ⅴ、Ⅱ-Ⅵ族化合物中选择的材料组成。本发明的一个方面中,所生长的纳米结构不同于从其生长的基板类型。
本发明的一个方面中,生长纳米结构之后,采用金属、半导体、半绝缘体、绝缘体或聚合物对该纳米结构进行涂层以提高纳米结构针对不同应用的功能。例如,采用聚合物对所生长的纳米结构进行涂层以充当防粘层,用以提升来自压花材料的印章的释放机制。本发明的一个方面中,当具有导电的印章时,其中纳米结构是可导电的或采用导电材料进行涂层,能执行电化学纳米压印。对纳米结构实施聚合物涂层能获得纳米结构的疏水性或亲水性表面。
本发明的另一个方面涉及使用掩模模板的光刻方法,包括步骤:沉积阻挡到底层基板;将具有已形成的纳米结构的掩模模板与阻挡接触;加载预定的垂直压力到掩模模板上和从阻挡分离掩模模板。
本发明还有另一个方面涉及模板的使用,所述模板包括底层模板和沉积在底层模板上催化层,其中从确定光刻形貌的催化层至少生长了一个纳米结构,通过纳米结构的生长控制该纳米结构的深宽比,所述至少一个纳米结构具有的强度足以维持垂直压力下纳米结构的光刻形貌;穿孔一种材料过程,包括沉积将被穿孔的材料层到底层基板、将模板与材料接触、加载预定的垂直压力到模 板上、以及从材料分离模板。
复制:依据本发明,只有用于给定设计的第一模板需要通过传统电子束/照相光刻制备,然后完成复制。一旦制备了第一模板,能通过压印和随后纳米结构的生长转移图案到基板来制备模板。而且,本复制的一个方面中,生长的纳米结构的图案、形状和性质能通过生长组份来控制。紧接着该复制步骤进行下一个复制。因此在不使用任何其它电子束/照相光刻的情况下,能从一个单个的模板制成大量的具有不同性质的模板。
低k介质:国际半导体技术发展线路图预测,器件持续地按比例缩小将需要k值小于2.5的超低k材料,以用于100nm的技术节点及其以外。文献中讨论了将多孔掺入到致密介质中是获得超低k材料的一种具有吸引力的方法。获得更低介电常数的一种方法是氟化无机或有机介质材料中的一种。依据Jin C等人的报道,用于氟化致密材料的可用最低介电常数约为k~1.9(特氟隆),而且当前使用致密材料的方法没能获得比该值更低的k值。然而,空气具有最低的介电常数,约为k~1。因此,将空气掺入到致密材料内制得多孔是获得超低k材料的一种方法。孔中掺入空气导致介电常数减少的方法具有将介电常数降低到低于k~2的潜力。为了满足性能目标,未来技术结点将需要介电常数逐步减少的材料。对于每个技术节点,介质材料相关的变化是增加了工艺和装置的复杂度和开发成本。因此需要有一类材料才能满足多个未来技术节点的需要。本发明的另一个方面中,制备的模板能用于创建可控的低k材料。其中介质材料是旋涂在基板上。将模板压到介质材料上以制得完整的或部分的空洞,其中空洞的密度、深度和尺寸由压力、纳米结构模板的尺寸和纳米结构模板的深宽比控制。因此射孔材料的介电常数能按需要调节。因为本发明提供了可调介质材料的生产,因此本发明提供了用以维持多技术节点的可扩展性。
射孔材料:因多种原因在数个不同的应用中使用射孔材料。主要用作屏幕、过滤器、屏蔽和保护。同时一些应用可能需要射孔材料以特定方式执行,例如衰减声音频率、提供一定等级的电磁屏蔽等。射孔材料能控制空气、液体、光束、固体、热量、分子、双分子、电磁波和声波的通过。射孔材料还能是薄膜,其中多种表示中有诸如蓝宝石薄膜,还可表示为选择性渗透薄膜、部分渗透薄 膜或差异渗透薄膜。薄膜能用于渗透或反渗透目的。例如反渗透、半渗透薄膜用于水净化或淡化系统。该人工薄膜通常由聚酰亚胺材料制成。它们还在化学应用中使用,诸如电池和燃料电池。半渗透薄膜的另一个范例是透析管。本发明的一个方面中,通过压花或压印具有将被射孔的层的模板,依据本发明所制备的该模板能制备射孔材料。该材料能来自金属、绝缘体或聚合物组成的物质组。一个方面,需要射孔的材料被旋涂或溅射或蒸镀在基板上。依据本发明的模板如压花或压印一般被压到的涂层材料上。因为能升高该涂层的可选过程温度到玻璃转变温度,使得该层能在纳米结构的模板附近自由移动。该层必须冷却到玻璃转变温度以下才能完成图案转移过程、模板的去除和穿孔的创建。然后能提高材料层使其脱离基板,以释放薄膜。还能按照以上所描述的类似方法制备微流控和/或纳流控器件。
本发明的一个发明中,依据本发明的模板如压花或压印一般压在金属层上。进而在一个方面中,该金属层要遭受高温,诸如在回流、快速热退火(RTP)、快速热处理(RTP)中,贴、粘或压花纳米结构到金属材料上。
可循环的模板:当今的模板或印章基板只能使用一次。当柱体从印章上断裂后,通常将基板作为垃圾丢弃而产生了基板浪费。另外即使基板不会断裂(SiC的情况)和如果仅损坏了模板,重新使用该基板来制作同一模板是不实际和不经济的。本发明提供了一种方法,用于生产的具有经济性的工艺、实现基板的更长寿命时间和实现可持续发展环境,期间通过推进在可修复和可循环的模板中多次使用同一基板。而且,采用本发明甚至将有可能在改变模板设计时,通过从基板去除生长的纳米结构、从基板去除催化层、依据新模板设计沉积催化剂和从催化层生长纳米结构而保留同一基板。
附图说明
图1是现有技术中标准纳米压印的示意图;
图2是本发明中使用纳米结构模板的纳米压印方法的示意图;
图3是本发明中制备纳米结构模板印章的示意图;
图4是本发明中具有不同锥形纳米结构的模板的示意图;
图5是本发明中描述模板制备的流程图;
图6是本发明中描述模板复制的示意图;
图7是本发明中纳米结构印章的扫描电镜图;
图8是本发明中阻挡层中所获得的图案的光学显微镜图。
具体实施方式
依据本发明的模板201如图2A所示。具有独立垂直对齐的纳米结构204。印章上还可见垂直对齐的纳米结构组成的阵列202和生长的纳米结构丛203。在底层基板/晶圆206上沉积聚合物/阻挡205。该基板可能包含多层。
图2B中依据本发明的模板201被压向晶圆206上的聚合物层205。晶圆和阻挡已加热到聚合物的玻璃转变温度以上。冷却后提起模板,在阻挡层中留下凹陷207、208和209。根据纳米结构的局域化,压印的聚合物层中能出现不同类型的结果。对于图2A中所示的独立纤维204和小间距阵列202,每个纤维将在聚合物中给出凹陷207和209。对于极小间距阵列203,将会出现连续凹陷208。用于在聚合物中创建宽凹陷。
能够制备模板的多种材料包括但不限于Si、SiOx、SiNx、二氧化硅、陶瓷、玻璃、SiC、PDMS、聚酰亚胺、金属。图3A中可见印章坯301和302。催化层303沉积在印章坯301上,如图3B所示。然后催化层被制成图案,产生图3C中所示结构。该过程由可能包括光学光刻、纳米压印光刻、电子束光刻等在内的标准光刻完成。回刻或剥离工艺能用于对催化层进行制图。还可能在不使用光刻的条件下制备印章,因为催化层可以是连续层,或者能以溶液溅射和旋涂催化剂到印章上。另外,电镀、化学镀或催化剂粒子旋涂能用于形成催化层。如图3D所示,纳米结构305生长在印章上。
所得结构如图3E所示。其中一个范例使用电绝缘的玻璃印章坯。纳米结构生长之后,能通过干蚀刻有选择地去除辅助层。所得结构如图3F所示,其对于玻璃印章坯和其中印章必须是透明的紫外线或x射线纳米压印是有用的。对于电化学纳米压印光刻,使用导电的印章。然后在生长过程中使用金属辅助层,但是事后不用除去。
能通过生长参数控制所得的纳米结构。例如,能通过使用低生长温度制备锥形纳米结构。该结构如图4所示,其中401是生长的锥形纳米结构,402是催化层,以及403是基板。
图5示出了基于本发明制备模板的工艺方案的范例。
应用范例
本发明公开了一种制备用于不同应用的通用模板的方法。该模板能用于光刻、压印、压花、可循环/可修复的压印印章。用于制备沟道的高深宽结构用于电容器或存储器单元。用于制备蜂窝结构、光子晶体、传感器、探测器、太阳能电池应用、催化剂/催化化学、芯片实验室、x射线、电离源、汽车、电信装置、移动电话、电子产品、微处理器、电子封装、生物传感器、射孔材料。能在同类中使用本发明的创新,作为标准纳米压印、微电子、光子学以用于同一应用。
射孔材料
依据本发明,能通过第一压印或压花需要被射孔的材料层上的模板来生成射孔或半射孔材料。然后从该材料层释放模板。之后,过滤器能用作通常生物应用中的过滤器、用于生物分子的分子过滤器、纳流控应用的芯片实验室,基于垂直对齐,制备光盘(CD)或DVD。
本发明具有将纳米结构嵌入到其它材料中的应用。
本发明还具有光子学应用,能形成对光线透明的材料。该应用的范例是防反射涂层和菲涅尔透镜。
本发明能用于给材料提供表面纹理。能提供具有大接触角的疏水表面,即所谓的莲花效应。能通过印章的纳米结构的形状控制该结果。例如能生长具有锥形的纳米结构。如果给定合适的形式,能使用其它的压印特征来增强材料层间的附着性。
本发明能用于制备用于纳米压印的可重复使用的印章。例如,如果模板被损坏了,清洗模板以去除污染物和纤维,于是能再次从已存在的催化层或粒子生长纳米结构。
本发明的一个优点是纤维是导电的,这样能提供用于电化学纳米压印光刻的导电印章。然后应当使用导电印章坯或导电辅助层。另外一个优点是纤维是导热的,能在压印工艺中提高加热器到聚合物的热传导性。
依据本发明,可能的自复制如图6所示。例如,能够从将要形成的原始模板600的掩模模板610和620形成数个复制,减少了对用于大规模生产印章的电子束的依赖。
已经描述了本发明的多个实施例。然而应当理解的是,可对本发明作各种改进,只要不脱离本发明的精神和范围。
证明
为了证明本发明,已制备了具有碳纳米纤维的印章。其扫描电镜图片如图7所示。印章压印进入聚合物层的结果如图8所示。通过沉积金属层到压印的聚合物上以对该金属层进行制图,结果如图8所示。
附图编号
100印章
102印章上的凸起
103阻挡层
104氧化层
105晶圆
106压印后的阻挡层
107印章上凸起的复制
108等离子体灰化后的阻挡层
109蚀刻后的氧化层
201印章基板
202以丛状生长的纳米结构
203具有可控密度的生长的纳米结构阵列
204单独生长的纳米结构
205阻挡层
206用于图案转移的基板
207来自生长的纳米结构阵列的图案的复制
208来自像森林状的生长的纳米结构的图案的复制
209来自独立生长的纳米结构的图案的复制
301基板上的层
302基板
303底层(可选的)
304制图的催化剂
305生长的纳米结构
306去除底层之后
307去除基板上的层之后
401生长的锥形纳米结构
402催化层
403基板
500辅助层的沉积
510催化剂的沉积和制图
520纳米结构的生长
530辅助层的选择性去除(可选的)
600主印章
610具有不同性质的第二生成印章
620具有不同性质的第三生成印章

Claims (13)

1.一种模板,其特征在于,包括:
底层基板;和
沉积在所述底层基板上的催化层,
其中从确定光刻形貌的所述催化层生长至少一个纳米结构,
所述纳米结构的深宽比受纳米结构的生长所控制,
所述至少一个纳米结构具有的强度足以维持所述纳米结构在垂直压力下的所述光刻形貌。
2.根据权利要求1所述的模板,其特征在于,构成所述底层基板的材料从硅晶圆、氧化的/涂层的硅晶圆、金属涂层的硅晶圆、氮涂层的硅晶圆、碳化硅晶圆、玻璃基板、陶瓷、聚合物、ITO、金属和金属合金组成的物质组中选择一种。
3.根据权利要求1所述的模板,其特征在于,构成所述至少一个纳米结构的材料从纳米管、纳米线、纳米纤维、纳米针、纳米晶须和纳米锥组成的物质组中选择一种。
4.根据权利要求1所述的模板,其特征在于,其中采用薄膜形式的材料对所述至少一个纳米结构进行可选地涂层,所述薄膜形式的材料从聚合物、金属、半导体和绝缘体组成的物质组中选择。
5.根据权利要求1所述的模板,其特征在于,其中所述垂直压力的量从1bar到200bar以上,优选地为1bar到60bar。
6.根据权利要求1所述的模板,其特征在于,当所述基板材料由UV透明材料制成时,所述中包括:
沉积在所述底层基板上的辅助层,
沉积在所述辅助层上的催化层,
其中,从确定光刻形貌的所述催化层生长至少一个纳米结构,所述纳米结构的深宽比受纳米结构的生长所控制;所述纳米结构的深宽比受纳米结构的生长时间所控制,
可选地选择性去除所述辅助层;
所述至少一个纳米结构具有足够的硬度以维持所述纳米结构在垂直压力下的所述光刻形貌。
7.一种使用掩模模板的光刻方法,其特征在于,包括步骤:
a)在底层基板上沉积阻挡;
b)将具有已形成的纳米结构的掩模模板与所述阻挡接触;
c)加载预定的垂直压力到所述掩模模板;和
d)从所述阻挡分离所述掩模模板。
8.根据权利要求7所述的使用掩模模板的光刻方法,其特征在于,步骤c)和d)之间还包括步骤:
c1)可选地升高所述阻挡的温度到玻璃转变温度。
9.根据权利要求7所述的使用掩模模板的光刻方法,其特征在于,步骤b)和c)之间还包括步骤:
b2)紫外线辐射所述阻挡。
10.根据权利要求9所述的使用掩模模板的光刻方法,其特征在于,步骤b)和b2)之间还包括步骤:
b1)可选地升高所述阻挡的温度到玻璃转变温度。
11.一种模板的使用,其特征在于,所述模板包括:
底层基板;和
沉积在所述底层基板上的催化层,
其中从确定光刻形貌的所述催化层生长至少一个纳米结构,
所述纳米结构的深宽比受纳米结构的生长所控制,和
所述至少一个纳米结构具有的强度足以维持所述纳米结构在垂直压力下的所述光刻形貌,对材料进行射孔时,包括:
将被射孔的材料层沉积到所述底层基板上;
将所述模板与所述材料接触;
加载预定的垂直压力到所述模板;和
从所述材料分离所述模板。
12.根据权利要求11所述的模板使用,其特征在于,还包括:
在所述模板从所述材料分离之前,可选地升高所述材料的温度到玻璃转变或熔化温度;
将所述材料从所述底层基板上剥离以形成自由站立膜。
13.根据权利要求11或12所述的模板使用,其特征在于,所述被射孔的材料是从包含聚合物、金属、半导体、电介质材料的材料组中选取的。
CN200980131624.4A 2008-08-05 2009-07-23 用于光刻的高深宽比模板、制作相同模板的方法、以及这种模板在纳米级基板射孔中的应用 Active CN102119363B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
SE0801770 2008-08-05
SE0801770-9 2008-08-05
PCT/EP2009/005340 WO2010015333A2 (en) 2008-08-05 2009-07-23 Template and method of making high aspect ratio template for lithography and use of the template for perforating a substrate at nanoscale

Publications (2)

Publication Number Publication Date
CN102119363A true CN102119363A (zh) 2011-07-06
CN102119363B CN102119363B (zh) 2015-10-21

Family

ID=41226229

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980131624.4A Active CN102119363B (zh) 2008-08-05 2009-07-23 用于光刻的高深宽比模板、制作相同模板的方法、以及这种模板在纳米级基板射孔中的应用

Country Status (7)

Country Link
US (1) US9028242B2 (zh)
EP (1) EP2307928A2 (zh)
JP (1) JP5405574B2 (zh)
KR (1) KR20110055586A (zh)
CN (1) CN102119363B (zh)
MY (1) MY153444A (zh)
WO (1) WO2010015333A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107175939A (zh) * 2016-03-09 2017-09-19 华邦电子股份有限公司 用于印刷线路制程的印章及其制造方法以及印刷线路制程
US9955584B2 (en) 2016-04-25 2018-04-24 Winbond Electronics Corp. Stamp for printed circuit process and method of fabricating the same and printed circuit process
CN110579942A (zh) * 2013-06-20 2019-12-17 Ev 集团 E·索尔纳有限责任公司 具有印模结构的印模及其制造装置和方法
CN112960641A (zh) * 2020-10-12 2021-06-15 重庆康佳光电技术研究院有限公司 转移构件、其制备方法及具有其的转移头
CN113933921A (zh) * 2020-07-14 2022-01-14 脸谱科技有限责任公司 无机基质纳米压印光刻体及其制造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9104948B2 (en) * 2008-09-16 2015-08-11 Ramot At Tel-Aviv University Ltd. System and a method for nano imprinting
US9991407B1 (en) * 2010-06-22 2018-06-05 Banpil Photonics Inc. Process for creating high efficiency photovoltaic cells
CN101937871A (zh) * 2010-08-16 2011-01-05 复旦大学 一种构造低介电常数介质材料表面形貌的方法
JP5458036B2 (ja) * 2011-02-09 2014-04-02 株式会社東芝 ナノインプリント用スタンパ及びその製造方法
US9081460B2 (en) * 2011-05-20 2015-07-14 Gwangju Institute Of Science And Technology Electronic device, method for manufacturing the same and touch panel including the same
EP2720772A2 (en) * 2011-06-17 2014-04-23 Battelle Memorial Institute Forward osmosis, reverse osmosis, and nano/micro filtration membrane structures
RU2476917C1 (ru) * 2011-08-12 2013-02-27 Открытое акционерное общество "НИИ молекулярной электроники и завод "Микрон" Способ изготовления штампа для наноимпринт литографии
TWM429700U (en) * 2012-01-19 2012-05-21 Benq Materials Corp Engraving device
KR102130688B1 (ko) * 2015-11-03 2020-07-07 삼성디스플레이 주식회사 레이저 결정화 방법
US11261085B2 (en) 2017-05-03 2022-03-01 Nanotech Security Corp. Methods for micro and nano fabrication by selective template removal
US10679110B2 (en) 2018-04-01 2020-06-09 Ramot At Tel-Aviv University Ltd. Nanotags for authentication
KR102267904B1 (ko) * 2020-04-01 2021-06-22 한국기계연구원 유리전이온도를 이용한 미세구조체 전사방법 및 이를 이용하여 제작된 미세구조체 소자

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6814897B2 (en) * 1998-03-27 2004-11-09 Discovision Associates Method for manufacturing a molding tool used for substrate molding
US7115305B2 (en) * 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6755984B2 (en) * 2002-10-24 2004-06-29 Hewlett-Packard Development Company, L.P. Micro-casted silicon carbide nano-imprinting stamp
US7507293B2 (en) * 2002-10-28 2009-03-24 Hewlett-Packard Development Company, L.P. Photonic crystals with nanowire-based fabrication
US7378347B2 (en) * 2002-10-28 2008-05-27 Hewlett-Packard Development Company, L.P. Method of forming catalyst nanoparticles for nanowire growth and other applications
JP4651390B2 (ja) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
JP4383796B2 (ja) * 2003-08-07 2009-12-16 キヤノン株式会社 ナノ構造体、及びその製造方法
US8030833B2 (en) * 2003-09-19 2011-10-04 The Board Of Trustees Of The University Of Illinois Electron emission device incorporating free standing monocrystalline nanowires
JP2005268686A (ja) * 2004-03-22 2005-09-29 Nippon Telegr & Teleph Corp <Ntt> 金属パターン形成方法
CN100582033C (zh) * 2004-08-04 2010-01-20 鸿富锦精密工业(深圳)有限公司 陶瓷模仁
JP2006108649A (ja) * 2004-09-09 2006-04-20 Masaru Hori ナノインプリント用金型、ナノパターンの形成方法及び樹脂成型物
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7459013B2 (en) * 2004-11-19 2008-12-02 International Business Machines Corporation Chemical and particulate filters containing chemically modified carbon nanotube structures
US7592255B2 (en) * 2004-12-22 2009-09-22 Hewlett-Packard Development Company, L.P. Fabricating arrays of metallic nanostructures
WO2006078952A1 (en) * 2005-01-21 2006-07-27 University Of California Methods for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
US7591641B2 (en) * 2005-03-22 2009-09-22 Canon Kabushiki Kaisha Mold and process of production thereof
US7687876B2 (en) * 2005-04-25 2010-03-30 Smoltek Ab Controlled growth of a nanostructure on a substrate
WO2007133235A2 (en) 2005-08-08 2007-11-22 Liquidia Technologies, Inc. Micro and nano-structure metrology
JP2007069604A (ja) * 2005-08-10 2007-03-22 Toray Ind Inc パターン形成方法、パターン形成用シート、およびそれを用いて形成される光学機能性シート
JP4905634B2 (ja) 2005-08-11 2012-03-28 株式会社カネカ ナノインプリント用金型の製造方法
AU2005337438B2 (en) * 2005-10-20 2010-02-18 Agency For Science, Technology And Research Hierarchical nanopatterns by nanoimprint lithography
US20090045720A1 (en) * 2005-11-10 2009-02-19 Eun Kyung Lee Method for producing nanowires using porous glass template, and multi-probe, field emission tip and devices employing the nanowires
US7690910B2 (en) * 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
KR101530379B1 (ko) * 2006-03-29 2015-06-22 삼성전자주식회사 다공성 글래스 템플릿을 이용한 실리콘 나노 와이어의제조방법 및 이에 의해 형성된 실리콘 나노 와이어를포함하는 소자
US8707890B2 (en) * 2006-07-18 2014-04-29 Asml Netherlands B.V. Imprint lithography
US8318407B2 (en) * 2006-11-01 2012-11-27 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for their use and manufacture
JP5188192B2 (ja) * 2007-02-20 2013-04-24 キヤノン株式会社 モールド、モールドの製造方法、インプリント装置及びインプリント方法、インプリント方法を用いた構造体の製造方法
US20080315430A1 (en) * 2007-06-22 2008-12-25 Qimonda Ag Nanowire vias
US20110104321A1 (en) * 2007-11-01 2011-05-05 Jun-Ying Zhang Method for replicating master molds
JP5065880B2 (ja) * 2007-12-27 2012-11-07 株式会社日立産機システム 微細構造転写装置および微細構造転写方法
US7696837B2 (en) * 2008-01-30 2010-04-13 Motorola, Inc. RF system having a one-dimensional nanostructure multi-port coupler
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
US20140021444A1 (en) * 2010-05-31 2014-01-23 Snu R&Db Foundation Electronic device and manufacturing method thereof
KR101271827B1 (ko) * 2010-07-22 2013-06-07 포항공과대학교 산학협력단 탄소 박막 제조 방법
JP5909046B2 (ja) * 2011-03-09 2016-04-26 株式会社東芝 近接場露光方法
KR20130002527A (ko) * 2011-06-29 2013-01-08 엘지이노텍 주식회사 나노와이어 제조방법
JP5971927B2 (ja) * 2011-11-29 2016-08-17 デクセリアルズ株式会社 光学体、窓材、建具、日射遮蔽装置および建築物

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110579942A (zh) * 2013-06-20 2019-12-17 Ev 集团 E·索尔纳有限责任公司 具有印模结构的印模及其制造装置和方法
CN107175939A (zh) * 2016-03-09 2017-09-19 华邦电子股份有限公司 用于印刷线路制程的印章及其制造方法以及印刷线路制程
CN107175939B (zh) * 2016-03-09 2020-02-28 华邦电子股份有限公司 用于印刷线路制程的印章及其制造方法以及印刷线路制程
US9955584B2 (en) 2016-04-25 2018-04-24 Winbond Electronics Corp. Stamp for printed circuit process and method of fabricating the same and printed circuit process
CN113933921A (zh) * 2020-07-14 2022-01-14 脸谱科技有限责任公司 无机基质纳米压印光刻体及其制造方法
CN112960641A (zh) * 2020-10-12 2021-06-15 重庆康佳光电技术研究院有限公司 转移构件、其制备方法及具有其的转移头
CN112960641B (zh) * 2020-10-12 2024-01-23 重庆康佳光电科技有限公司 转移构件、其制备方法及具有其的转移头

Also Published As

Publication number Publication date
WO2010015333A3 (en) 2010-05-27
US20110195141A1 (en) 2011-08-11
WO2010015333A2 (en) 2010-02-11
MY153444A (en) 2015-02-13
JP5405574B2 (ja) 2014-02-05
US9028242B2 (en) 2015-05-12
EP2307928A2 (en) 2011-04-13
CN102119363B (zh) 2015-10-21
KR20110055586A (ko) 2011-05-25
JP2011530803A (ja) 2011-12-22

Similar Documents

Publication Publication Date Title
CN102119363B (zh) 用于光刻的高深宽比模板、制作相同模板的方法、以及这种模板在纳米级基板射孔中的应用
US6517995B1 (en) Fabrication of finely featured devices by liquid embossing
CN102123941B (zh) 细微结构体及其制造方法
US6966997B1 (en) Methods for patterning polymer films, and use of the methods
CN102591143B (zh) 一种大面积纳米压印光刻的装置和方法
Hua et al. Processing dependent behavior of soft imprint lithography on the 1-10-nm scale
US8747682B2 (en) Pattern formation method and method for manufacturing semiconductor device
KR20050075580A (ko) 나노 임프린트 리쏘그라피를 이용한 대면적 스탬프 제작방법
Pina‐Hernandez et al. High‐throughput and etch‐selective nanoimprinting and stamping based on fast‐thermal‐curing poly (dimethylsiloxane) s
WO2006028282A1 (en) Nanoimprint mold, method of forming a nanopattern, and a resin-molded product
JP3892457B2 (ja) ナノインプリントリソグラフィ方法および基板
CN102279517A (zh) 纳米压印方法
Kong et al. Duo-mold imprinting of three-dimensional polymeric structures
Park et al. Thermoplastic polymer patterning without residual layer by advanced nanoimprinting schemes
KR20180012386A (ko) 진공증착에 의한 나노구조체 패턴 형성방법 및 이를 이용한 센서 소자
Glinsner et al. Fully automated hot embossing processes utilizing high resolution working stamps
Okuda et al. Micro-nano mixture patterning by thermal-UV novel nanoimprint
KR100876386B1 (ko) 소프트 몰딩을 이용한 잔여층이 없는 레지스트 패턴형성방법 및 그 방법을 이용한 패턴화된 금속층의 형성방법
KR100755044B1 (ko) 임프린트 리소그래피용 스탬프의 제조 방법
KR101604912B1 (ko) 나노 금속 라인 생성 방법
Kolli et al. Patterning of polymer arrays with enhanced aspect-ratio using hybrid substrate conformal imprint lithography
Cheng et al. Micro-and nanopatterned polymethylmethacrylate layers on plastic poly (ethylene terephthalate) substrates by modified roller-reversal imprint process
KR101081717B1 (ko) 나노선 성장용 촉매 패턴 형성 방법, 이를 이용한 나노선 형성 방법 및 그 방법으로 형성된 나노선을 이용한 나노선 소자
Kim Complexity of Nanomanufacturing
Pina-Hernandez et al. Nonresidual layer imprinting and new replication capabilities demonstrated for fast thermal curable polydimethysiloxanes

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant