CN102117805B - 半导体结构及其制造方法 - Google Patents

半导体结构及其制造方法 Download PDF

Info

Publication number
CN102117805B
CN102117805B CN201010159309.7A CN201010159309A CN102117805B CN 102117805 B CN102117805 B CN 102117805B CN 201010159309 A CN201010159309 A CN 201010159309A CN 102117805 B CN102117805 B CN 102117805B
Authority
CN
China
Prior art keywords
size
virtual
semiconductor structure
active area
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010159309.7A
Other languages
English (en)
Other versions
CN102117805A (zh
Inventor
王立廷
黄俊仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102117805A publication Critical patent/CN102117805A/zh
Application granted granted Critical
Publication of CN102117805B publication Critical patent/CN102117805B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明提供一种半导体结构及其制造方法,该半导体结构包含一半导体基板、多个有源区以及多个虚拟有源区。半导体基板具有一元件区以及一邻接于元件区的虚拟区。有源区位于元件区内。虚拟有源区位于虚拟区内。其中这些有源区的每一个在一第一方向具有第一尺寸以及在垂直于第一方向的一第二方向具有第二尺寸,且第一尺寸实质大于第二尺寸,而这些虚拟有源区的每一者在第一方向具有第三尺寸以及在第二方向具有第四尺寸,且第三尺寸实质大于第四尺寸。这些虚拟有源区的设置使得在虚拟区的热退火效应实质相同于元件区的热退火效应。

Description

半导体结构及其制造方法
技术领域
本发明是有关于一种半导体制程,且特别是有关于一种半导体结构及其制造方法。
背景技术
半导体制造厂商加工半导体晶圆以在晶圆的不同区域形成各式各样的集成电路。这些区域称为半导体晶粒。形成在每个半导体晶粒中的集成电路包含多个半导体组件,其具有主动装置,例如晶体管、二极管或内存装置。半导体组件也可包含被动组件,例如电阻或电容。可使用各种半导体制程来形成半导体组件,例如蚀刻、微影制程、离子植入、薄膜沉积或热退火。然而,现行的集成电路及其制造方法,对一半导体晶圆使用一热退火制程导入了组件性能不均匀的问题。在一半导体晶粒中,每个组件的组件性能发生了变化,降低了形成在半导体晶粒内的集成电路的整体质量。因此,需要一种集成电路结构及其制造方法来解决这样的问题。
发明内容
本发明的目的在于提供一种半导体结构及其制造方法,克服现行的集成电路及其制造方法中对一半导体晶圆使用一热退火制程导入了组件性能不均匀的问题。
根据本发明一实施例,提供一种半导体结构。此半导体结构包含一半导体基板、多个有源区以及多个虚拟有源区。半导体基板具有一元件区以及邻接于元件区的一虚拟区。有源区位于元件区内。虚拟有源区位于虚拟区内。其中这些有源区的每一个在第一方向具有第一尺寸以及在垂直于第一方向的一第二方向具有第二尺寸,且第一尺寸实质大于第二尺寸,而这些虚拟有源区的每一者在第一方向具有第三尺寸以及在第二方向具有第四尺寸,且第三尺寸实质大于第四尺寸。这些虚拟有源区的设置使得在虚拟区的热退火效应实质相同于元件区的热退火效应。
根据本发明的另一实施例,还提供一种半导体结构。此半导体结构包含具有一元件区以及邻接于元件区的一虚拟区的一硅基板;位于元件区内的一有源区,其中有源区包含多个第一轮廓以在第一方向界定出第一尺寸,以及多个第二轮廓以在垂直第一方向的第二方向界定出第二尺寸,以及其中第一尺寸大于第二尺寸;以及位于虚拟区内的一虚拟有源区,其中虚拟有源区包含多个第三轮廓以在该第一方向界定出第三尺寸,以及多个第四轮廓以界定出在第二方向的第四尺寸,以及其中第三尺寸是大于第四尺寸。
根据本发明的一目的,提供一种方法。此方法包含提供一半导体基板,在半导体基板的一元件区内形成多个第一浅沟槽隔离,其中多个第一浅沟槽隔离界定出多个有源区,每一有源区具有第一轮廓,第一轮廓在第一方向具有第一尺寸以及在垂直于第一方向的第二方向具有第二尺寸,且第一尺寸是大于第二尺寸。接着,在半导体基板内的虚拟区形成多个第二浅沟槽隔离,其中虚拟区邻接于元件区,这些第一浅沟槽隔离界定出多个虚拟有源区,每一虚拟有源区具有第二轮廓,第二轮廓在第一方向具有第三尺寸以及在第二方向具有第四尺寸,且第三尺寸大于第四尺寸。
本发明通过虚拟有源区的设置使得在虚拟区的热退火效应实质相同于元件区的热退火效应,克服了现行的集成电路及其制造方法中对一半导体晶圆使用一热退火制程导入了组件性能不均匀的问题,从而提高了形成在半导体晶粒内的集成电路的整体质量。
附图说明
为让本发明的上述和其它目的、特征、优点与实施例能更明显易懂,所附附图的说明如下:
图1是根据本发明的目的的一实施例所建构的一半导体结构的上视图;
图2A是根据本发明的目的的一实施例沿着图1半导体结构的虚线AA’的剖面示意图;
图2B是根据本发明的目的的一实施例沿着图1的半导体结构虚线BB’的剖面示意图;
图3是根据本发明的目的的另一实施例所建构的半导体结构的上视图;
图4是根据本发明的目的的一实施例的激光瞬间退火的概略示意图;
图5是根据本发明的目的的一实施例所建构的测试半导体结构的上视图;
图6至8是根据本发明的目的所建构的不同测试半导体结构的剖面示意图;
图9是根据图6至8的不同测试半导体结构的实施数据的比较图。
【主要组件符号说明】
100:半导体结构             102:元件区
104:虚拟区                 110:基板
112:特征                   114:有源区
116:栅极                   118:虚拟有源区
119:虚拟栅极               150:半导体结构
200:快速热退火系统         202:激光束
204:半导体基板             208:偏振方向
210:部分                   300、320、322、324:测试半导体结构
302:特征                   306:测试图案
304:有源区
308:基板                   310:多晶硅栅极
314:浅沟槽隔离特征         352、354、356:曲线
D1:第一方向                D2:第二方向
L1:第一尺寸                L2:第二尺寸
L3:第三尺寸                L4:第四尺寸
具体实施方式
应了解的是下列揭露提供许多不同实施例或例子以阐明不同实施例的不同特征。以下所描述的组件及构件的特定实施例是用以简化本发明。当然,也就是说,仅仅只是范例并非用以限定本发明。此外,本发明的揭露可在不同实施例中重复相似的参考标号。这样的重复是为了简化与清楚的目的,并且这样的重复本身并不规定所讨论的不同实施例以及/或结构之间的关系。再者,下述内容中形成于第二特征内或上的一第一特征可包含形成直接接触内的第一特征与第二特征的实施例,且也可包含形成第一特征与第二特征之间的额外特征的实施例,使得第一与第二特征可不直接接触。
图1是根据本发明一目的的一实施例所建构的半导体结构100的上视图。图2A是根据图1的半导体结构100沿着虚线AA’的剖面示意图。图2B是根据图1的半导体结构100沿着虚线BB’的剖面示意图。半导体结构及其制造方法将根据图1、2A及2B于下列一同讨论。
在一实施例中,半导体结构100是半导体晶圆或尤其是半导体晶粒(或芯片)的一部分。半导体结构100包含元件区102以及邻接于元件区102的虚拟区104。作为一特定实施例,虚拟区104是一个围绕在元件区周围的小区块。设计元件区102以形成功能化集成电路,其中虚拟区104是设计来形成各种虚拟特征以增强不同的半导体制程并改善功能化集成电路。在一实施例中,元件区102包含逻辑化电路,逻辑化电路包含晶体管,例如场效晶体管(FET)。在一实施例中,场效晶体管为一金属氧化物半导体场效晶体管(MOS)。在另一实施例中,元件区102包含内存组件,例如静态随机存取存储(SRAM)单元。静态随机存储单元包含各种电容与晶体管,这些电容或晶体管装配且耦接在一起以具备数据储取的功能。
半导体结构100包含一半导体基板110。在一实施例中,半导体结构包含硅。或者,基板110包含锗或硅锗。在一实施例中,基板110可使用其它半导体材料,例如钻石、碳化硅、砷化锗、磷砷化镓(GaAsP)、砷铟化铝(AlInAs)、砷镓化铝(AlGaAs)、磷铟化镓(GaInP)或上述其它适当的结合物。此外,半导体基板110可包含一块状半导体,例如块状硅以及形成于块状硅上的磊晶硅层。
半导体结构100还包含在半导体基板110内形成的各种介电特征112,这些介电特征112具备隔离的功能。在一实施例中,以浅沟槽隔离技术来形成隔离特征112,因此可视为浅沟槽隔离结构或沟槽隔离特征。可选择性的以其它适当的技术,例如硅局部氧化(local oxidation of silicon)来形成隔离特征112。形成于半导体基板内的隔离特征112界定出各种有源区114,这些有源区114就是半导体基板110上没有被隔离特征112所覆盖的部分。
在一实施例中,浅沟槽隔离特征112的形成可利用的制程包含蚀刻基板以形成沟槽,以介电材料填入沟槽,接着对基板进行平坦化制程以自基板移除多余的介电材料。在一实施例中,浅沟槽隔离特征112包含氧化硅。可利用化学气相沉积(CVD)制程将氧化硅填入沟槽内。在不同例子中,可通过高密度等离子化学气相沉积(HDPCVD)法来形成氧化硅。或者,可通过高深宽比(HARP)制程来形成氧化硅。在其它实施例中,沟槽隔离特征112可包含多层结构。在再一实施例中,沟槽隔离特征112包含其它适当的材料,例如氮化硅、氮氧化硅、低k材料、空气间隙或其结合物,以形成沟槽隔离特征。
隔离特征112包含,例如热氧化内衬层(thermal oxide lining layer)以改善沟槽界面。在另一实施例中,沟槽可填入热氧化硅内衬层以及高密度电浆化学气相沉积的氧化硅层。在另一实施例中,沟槽可具有多层结构,包含热氧化内衬层、化学气相沉积氮化硅层以及化学气相沉积氧化硅层。在一实施例中,平坦化制程包含对半导体基板进行化学机械研磨(CMP)制程以移除沟槽填充材料的多余部分。在一实施例中,化学机械研磨制程可使用氮化硅层作为研磨停止层,使得化学机械研磨制程可适当的停止于此氮化硅层。亦可使用其它可达到相同研磨效果的制程。例如,可使用回蚀制程来移除多余的沟槽填充材料。更包含对半导体基板的沟槽隔离特征112进行退火制程。
如前所述,在元件区102界定出不同的有源区114。设计这些有源区114以形成不同的电路组件,并进行装配,使得这些电路组件耦接在一起以形成一功能化电路。在一实施例中,可在这些有源区114中形成不同的晶体管。如图1及图2A与2B所示,在元件区102内形成栅极116。每一栅极包含栅介电质以及设置于栅介电质上的栅极电极。在不同实施例中,栅介电质包含氧化硅、高k介电材料或其结合物。栅极电极包含掺杂多晶硅、金属或其结合物。更可在每一有源区内形成一对源极/漏极,并插入栅极。
然而,虚拟区104可具有相对于元件区102不同的硅密度,在不同的制程步骤导入非均匀的缺陷。在一实施例中,因与不同图案密度有关的负载效应,化学机械研磨制程可能造成凹陷(dishing)、磨蚀(erosion)或其它影响。因此,将虚拟图案,例如虚拟有源区118、虚拟栅极119或其结合物,导入至虚拟区104中,以提供一整体均匀的图案密度,以增益化学机械研磨制程,并在化学机械研磨后获得一整体平坦的表面。
形成半导体结构100的制造方法包含不同的热退火步骤,包含但不局限于以热退火形成浅沟槽隔离,在离子布植制程后,对半导体基板进行一热退火制程。热退火制程可在元件区102以及虚拟区104内产生不同的热效应,已经在多次的实验中获得证实。特别是当使用一激光瞬间热退火(LSA)以作为热退火制程时,这样热退火效应的变化被认为对电路质量以及性能表现会有特别的影响。激光瞬间热退火提供非常快速的退火,例如千分之一秒以及百万分之一秒。
请参照图4,激光快速热退火的一实施例,此激光快速热退火系统200是由位于北美马萨诸塞州沃本市宪法大道4号L室的乌翠泰克股份有限公司(Ultratech,Inc.,North America,4Constitution Way,Suite L,Woburn,MA 01801)所发展的。此快速热退火系统200包含二氧化碳气体,用以产生波长约10.6微米的激光。在一实施例中,当激光束202由激光快速热退火系统200直接照射至半导体基板204的表面时,其入射角大约是一布鲁斯特(Brewster)角(例如约为72度),使得激光的偏振方向208大致平行于半导体基板204的表面。将半导体基板204的一部分放大并标号为部分210。
这些实施例显示了热退火效应不只是与图案密度相关也与图案方向有关。热退火效应特别是与图案密度相关,并且与有源区以及虚拟有源区的图案密度以及图案方向特别有关。为了要显示这样的关联,设计与完成了一实施例并揭露于下。
图5是根据本发明不同目的所建构的一测试半导体结构300的上视图。图6至8根据本发明不同目的所分别建构的不同测试半导体结构320、322、324的剖面示意图。此测试半导体结构300包含介电隔离特征302以及由介电隔离特征302所界定出的有源区304。此介电隔离特征302为填入氧化硅的浅沟槽隔离。有源区304包含硅。形成一测试图案306于测试半导体结构300内。测试图案306包含一电阻器,此电阻器设计且装配来改变测试图案的电阻。在一实施例中,此测试图案306为一掺杂多晶硅电阻。
如图6所示,测试半导体结构320包含一硅基板308,在基板308上形成不同的多晶硅栅极310,以及在基板308上形成一测试图案306。如图7所示,测试半导体结构322包含一硅基板308、在基板308上的不同多晶硅栅极310、形成于基板308内的浅沟槽隔离312以及设置于基板308上的测试图案306。如图8所示,测试半导体结构324包含一硅基板308、形成于基板308内不同的浅沟槽隔离特征314以及设置于基板308上的测试图案306。测试半导体结构324可具有与测试半导体结构300相同的上视图。实施例包含使用所有测试半导体结构320、322、324。此外,每一测试半导体结构都以不同的实体来制造,每一个实体都具有不同的栅极间距、有源区间距或其结合物。栅极间距是由两个相邻的栅极之间的距离所界定。同样的,有源区间距是由两个相邻的有源区之间的距离所界定。栅极间距以及有源区间距是由朝向测试图案的一方向所界定。这些测试半导体结构中每一个的测试图案在设计上大致相同。此实施例包含对一测试半导体进行一热退火制程,以及在热退火制程后量测试图案的电阻值。对每一个测试半导体结构进行同样的热退火制程并重复同样的测试程序。图9提供了实施结果之一,其中水平轴代表多晶硅间距或有源区间距,而垂直轴则代表测试图案的电阻。曲线352代表图8测试结构324的数值,其中有源区间距是相对应改变。曲线354代表图7不同测试结构322的数值,其中栅极间距相对应改变。曲线356代表图6测试结构320的数值,其中栅极间距相对应改变。基于图9的数值以及其它实施结果,我们确认热退火制程的变化对于有源区图案密度跟有源区间距是较敏感的。这变化的一贡献是来自在半导体基板内热能量途径的变化。值得注意的是栅极间距与有源区间距以向测试图案的方向所界定。有较小间距的有源区在热能量途径提供了较少的电阻。亦可根据这些实施例来推断虚拟有源区的方向对热退火制程一个敏感的因素。因此,虚拟图案的设计是为了最佳化热退火制程以及一致化组件性能表现。
请续参照图1以及图2A与2B,将在元件区102里的有源区114设计成在第一方向D1具有第一尺寸L1以及在垂直于第一方向的第二方向D2具有第二尺寸L2的几何形状(如图2B所示)。第一尺寸L1实质上大于第二尺寸L2。有源区114可设计成任何适当的几何图形。在一实施例中,有源区具有一矩形几何。在一虚拟区104内的虚拟有源区118设计成在第一方向D1具有第三尺寸L3以及在第二方向D2具有第四尺寸L4的一几何形状。第三尺寸L3实质上大于第四尺寸L4。在一实施例中,第三尺寸L3范围介于第一尺寸的约0.1至约10之间。在另一实施例中,第四尺寸L4范围介于第二尺寸L2的约0.1至约10之间。在另一实施例中,就图案尺寸方面而言,有源区114与虚拟有源区118实质上相同。例如,第一尺寸L1等同于第三尺寸L3,且第二尺寸L2等同于第四尺寸L4。可将虚拟有源区118设计成任何适当的几何形状。在一实施例中,虚拟有源区具有一矩形几何。因此在相邻的元件区内将虚拟有源区与有源区排列对齐。更进一步将虚拟有源区的有源区图案密度设计成与元件区内有源区的有源区图案密度相同。有源区图案密度以在元件区内的特定区域内有源区的区域(或虚拟有源区)与特定区域间的比率来定义。在另一实施例中,就图案形状、方向以及尺寸而言,有源区114与虚拟有源区域118实质上相同。因此,在对半导体基板进行热退火制程的过程中,通过设计好的虚拟区内的虚拟有源区来达到与邻接的元件区相同的热效应。
此外,形成在虚拟区104内的虚拟栅极119的设计与装配,实质上与形成在元件区102内的栅极116的设计与装配相同。例如,将形成在虚拟区104内虚拟栅极119与形成在元件区102内的栅极116对齐排列。特别的是,元件区内的功能栅极在一主要方向有第一尺寸以及垂直于主要方向的另一方向有第二尺寸。在虚拟区内的虚拟栅极在第一方向有第三尺寸以及在另一方向有第四尺寸。第一尺寸实质大于第二尺寸,而第三尺寸实质大于第四尺寸。在另一实施例中,虚拟栅极的第三尺寸范围介于功能栅极的第一尺寸约0.1倍至约10倍。同样的,虚拟栅极的第四尺寸范围介于功能栅极的第三尺寸约0.1倍至约10倍。在特定实施例中,就图案形状、图案密度以及图案尺寸而言,栅极116实质上与虚拟栅极119相同。因此,在对半导体基板进行一热退火制程的过程,通过设计好的虚拟栅极119能进一步达到实质上与邻接元件区相同的热效应。在另一实施例中,如图3所示,可选择性的决定虚拟栅极119的方向,使得虚拟栅极对齐虚拟有源区118,在对半导体结构150进行一热退火制程的过程中,以这样的设计与装配达到与邻接元件区实质相同的热效应。
虽然本发明的实施例已详细描述如上,然而在此技术领域中具有通常知识者应了解到,在不偏离后附申请专利范围所界定的本发明的精神与范围下,当可在此进行各种改变、取代以及修正。举例来说,热退火制程并不限于激光瞬间退火且可涵盖其它类型的退火制程,例如快速热退火(RTA)制程。那些其它热退火制程都可有效改善本发明的半导体结构100。在另一实施例中,就图案尺寸、图案形状以及图案密度而言,当虚拟特征越靠近邻接的元件区时,在虚拟区内的虚拟特征(有源区以及/或栅极)就越相似于其对应的元件区内的组件特征。
形成虚拟区内的虚拟有源区以及元件区内的有源区于半导体基板内,并通过在半导体基板内形成多个特征来界定。通过一制造程序同时形成虚拟区内的虚拟有源区以及元件区内的有源区。在一实施例中,使用浅沟槽隔离来形成隔离特征,用以形成浅沟槽隔离结构的制造程序包含微影制程、蚀刻、介电材料的沉积以及化学机械平坦制程。微影制程利用具有预设图案的浅沟槽隔离区的光罩,并用来成像在半导体基板上。光罩上的预设图案与图1所描述的浅沟槽隔离图案相同,且可包含其它特征,例如用于光学进接修正法的辅助特征。
虽然没有绘示其它特征制造这些特征的制程步骤,但仍可包含各种掺杂区,例如源极/漏极,组件特征,例如用以作为接点的硅化物以及多层的互连结构(MLI)。在一实施例中,栅极(亦可为虚拟栅极)可包含栅极介电质、栅极电极、硅化物接触层以与栅极间隙。栅极介电质包含氧化硅、氮氧化硅、高k材料或其结合物。栅极电极可包含掺杂多晶硅、金属、金属硅化物、其它导电材料或其结合物。硅化物接触层包含硅化镍、硅化钴、硅化钨、硅化钽、硅化钛、硅化铂、硅化铒、硅化钯或其结合物。栅极间隙可包含一多层结构以及包含氧化硅、氮化硅、氮氧化硅或其它介电材料。
在形成浅沟槽隔离的另一实施例中,使用一硬屏蔽层来形成一或多个开口,以界定出隔离区。硬屏蔽层包含氮化硅层。在另一实施例中,硬屏蔽层包含氧化硅层以及设置于氧化硅层上的氮化硅层。可通过光学微影制程以及蚀刻制程以图案化硬屏蔽层。光学微影制程例如可包含光阻图案法、蚀刻法以及光阻剥离法。光阻图案法可进一步包含下列制程步骤:光阻涂布、软烘烤、光罩对准、图案曝光(exposing pattern)、曝光后烘烤(post-exposure baking)、光阻显影(developing photoresist)以及硬烘烤。光学微影图案法也可利用其它适当的方法来完成或取代,例如无屏蔽光学微影法、电子束写入法、离子束写入法、分子拓印(molecular imprint)法。蚀刻制程可包含湿蚀刻或干蚀刻制程。在一实施例中,用以蚀刻氮化硅的干蚀刻制程包含使用含氟气体的化学法。在再一实施例中,干蚀刻的化学法包含四氟化碳(CF4)、六氟化硫(SF6)或三氟化氮(NF3)。在另一对氮化硅层使用湿蚀刻的实施例中,蚀刻剂包含磷酸溶液。在另一实施例中,可使用氢氟酸或缓冲氢氟酸来蚀刻二氧化硅层以暴露出由氮化硅层所界定的开口中的半导体基板。
半导体结构100亦包含多个垂直互连结构,例如已知的介层窗(vias)或接点,以及水平的互连结构,例如金属线。不同的互连特征可通过不同的导电材料来实施,包含铜、钨以及硅化物。在一实施例中,可使用镶嵌制程来形成与铜有关的多层互连结构。在另一实施例中,可使用钨来形成接触孔(contact holes)中的钨插塞(plugs)。
半导体结构100还包含以已知掺杂制程,例如离子植入,所形成的掺杂源极和漏极以及含n型掺杂物或p型掺杂物的轻度掺杂源极。用来形成掺杂区的N型掺杂杂质可包含磷、砷以及/或其它材料。P型掺杂杂质可包含硼、铟以及/或其它材料。
本发明并非限制于具有金属氧化物半导体场效晶体管的半导体结构100,且可涵盖任何其它适当的集成电路。举例来说,在其它实施例中,半导体结构100可包含内存组件、感应器组件以及/或其它微电子组件(在此统称为微电子组件)。在另一实施例中,半导体结构100可包含鳍式(FinFET)场效晶体管。当然,本发明的目的亦可应用以及/或欲采用任何型态的晶体管,包含单栅极晶体管、双栅极晶体管以及其它多栅极晶体管,且可在许多不同的应用中实施,包含感应单元、存储单元、逻辑单元以及其它应用。
半导体结构100仅作为组件的一例子,在此组件中,可实施图1、2A与2B的各实施例。此半导体结构100与其制造方法可使用在具有高k以及金属栅极特征的其它半导体组件、应变半导体基板、异质半导体组件或一无应力隔离结构。
因此,本发明提供一半导体结构。此半导体结构包含具有一元件区以及一邻接于元件区的虚拟区的半导体基板;多个位于元件区内的有源区;以及多个位于虚拟区内的虚拟有源区。其中每一有源区在第一方向具有第一尺寸以及在垂直于第一方向的第二方向具有第二尺寸,且第一尺寸实质大于第二尺寸。而每一虚拟有源区在第一方向具有第三尺寸以及在第二方向具有第四尺寸,且第三尺寸实质大于第四尺寸。多个虚拟有源区的配置使得虚拟区的热退火效应与元件区的热退火效应实质相同。
在此半导体结构的不同实施例中,第三尺寸范围介于第一尺寸的约0.1倍至约10倍。第四尺寸范围介于第二尺寸的约0.1倍至约10倍。每一有源区具有一矩形几何。每一虚拟有源区具有一矩形几何。在一实施例中,元件区包含一场效晶体管。在另一实施例中,元件区包含一静态随机存取存储单元。元件区还包含形成于两相邻的有源区之间的多个第一隔离特征,而虚拟区还包含两相邻的虚拟有源区之间的多个第二隔离特征。第一与第二特征包含一介电材料,选自由氧化硅、氮化硅、氮氧化硅及其结合物所组成的群组。在一实施例中,虚拟区内的多个虚拟有源区具有一有源区图案密度,与元件区内的多个有源区的有源区图案密度实质相同。
本发明亦提供半导体结构的另一实施例。此半导体结构包含具有一元件区以及邻接元件区的一虚拟区的硅基板;位于元件区内的一有源区,其中有源区包含多个第一轮廓以在第一方向界定出第一尺寸,以及多个第二轮廓以在垂直于第一方向的第二方向界定出第二尺寸,且第一尺寸大于第二尺寸;以及一位于虚拟区内的虚拟有源区,其中虚拟有源区包含多个第三轮廓在第一方向界定出第三尺寸以及多个第四轮廓在第二方向界定出第四尺寸,且第三尺寸大于第四尺寸。
在所揭露的半导体结构中,第三尺寸范围介于第一尺寸的约0.1倍至10倍之间,而第四尺寸范围介于第二尺寸的约0.1倍至10倍之间。在一实施例中,有源区界定出第一矩形,而虚拟有源区界定出第二矩形。在另一实施例中,通过在有源区以及虚拟区内形成不同浅沟槽隔离结构,以在硅基板内界定出有源区以及虚拟有源区。不同浅沟槽隔离结构的形成是通过一制造程序,包含微影制程、蚀刻、介电材料的沉积以及化学机械平坦制程。半导体结构进一步包含一设置于有源区上的功能栅极以及一设置于虚拟区上的虚拟栅极。
本发明亦提供一方法,此方法包含提供一半导体结构;形成多个第一浅沟槽隔离于半导体基板的元件区内,其中多个第一浅沟槽隔离界定出多个有源区,每一有源区在第一方向具有第一尺寸以及在一垂直于第一方向的第二方向具有第二尺寸的第一几何形状,以及其中第一尺寸大于第二尺寸;以及形成多个第二浅沟槽隔离于半导体结构的一虚拟区内,其中虚拟区邻接于元件区,多个第二浅沟槽隔离界定出多个虚拟有源区,每一虚拟有源区在第一方向具有第三尺寸以及在第二方向具有第四尺寸的第二几何形状,其中第三尺寸大于第四尺寸。
在所揭露方法的一实施例中,第三尺寸范围介于第一尺寸的约0.1倍至约10倍之间,而第四尺寸范围介于第二尺寸的约0.1倍至约10倍之间。在另一实施例中,此方法进一步包含在形成多个第一浅沟槽隔离以及多个第二浅沟槽隔离后,对半导体基板进行一热退火制程。在一实施例中,进行热退火制程的步骤包含对半导体基板进行一激光瞬间退火制程。多个第一浅沟槽隔离以及多个第二浅沟槽隔离的形成,是通过一制造程序所同时完成的,制造程序包含微影制程、蚀刻、介电材料的沉积以及化学机械平坦制程。
前述已概略的描述数个实施例的特征使得此领域中具有通常知识者能更容易理解本发明的目的。熟悉此技艺者可轻易从本发明的揭露中了解到,可根据本发明设计或修改其它的制程或结构,以实现相同的目的以及/或达到所述实施例的相同优点。任何熟悉此技艺者亦可了解到,在不脱离本发明的精神和范围内,当可在此进行各种改变、取代以及修正,因此本发明的保护范围当视权利要求书所界定的范围为准。

Claims (10)

1.一种半导体结构,其特征在于,其包含:
一半导体基板,具有一元件区以及邻接于该元件区的一虚拟区;
多个有源区,位于该元件区内,其中每一该些有源区在一第一方向具有一第一尺寸以及在垂直于该第一方向的一第二方向具有一第二尺寸,且其中该第一尺寸大于该第二尺寸;以及
多个虚拟有源区,位于该虚拟区内,其中每一该些虚拟有源区在该第一方向具有一第三尺寸以及在该第二方向具有一第四尺寸,且其中该第三尺寸大于该第四尺寸,
其中该些虚拟有源区的设计及装配与该些有源区相同,该些虚拟有源区的设置使得在该虚拟区内的热退火效应相同于该元件区内的热退火效应。
2.根据权利要求1所述的半导体结构,其特征在于,每一该有源区具有一矩形几何,以及每一该些虚拟区具有一矩形几何。
3.根据权利要求1所述的半导体结构,其特征在于,该元件区还包含多个第一隔离特征,形成于两相邻的该些有源区之间,以及该虚拟区还包含多个第二隔离特征,形成于两相邻的该些虚拟有源区之间。
4.根据权利要求1所述的半导体结构,其特征在于,该虚拟区内该些虚拟有源区具有的有源区图案密度相同于该元件区内该些有源区的有源区图案密度。
5.一种半导体结构,其特征在于,包含:
一硅基板,具有一元件区以及邻接于该元件区的一虚拟区;
一有源区,位于该元件区内,其中该有源区包含多个第一轮廓以在一第一方向界定出一第一尺寸,以及多个第二轮廓以在垂直该第一方向的一第二方向界定出一第二尺寸,以及其中该第一尺寸大于该第二尺寸;以及
一虚拟有源区,位于该虚拟区内,其中该虚拟有源区包含多个第三轮廓以在该第一方向界定出一第三尺寸,以及多个第四轮廓以在该第二方向界定出一第四尺寸,其中该第三尺寸是大于该第四尺寸,该虚拟有源区的设计及装配与该有源区相同。
6.根据权利要求5所述的半导体结构,其特征在于,该有源区定义出一第一矩形,以及该虚拟有源区定义出一第二矩形。
7.根据权利要求5所述的半导体结构,其特征在于,还包含以相同的图案几何、图案尺寸以及图案密度设置一功能栅极于该有源区上,以及设置一虚拟栅极于该虚拟区上。
8.一种半导体结构的制造方法,其特征在于,包含:
提供一半导体结构;
形成多个第一浅沟槽隔离于该半导体结构的一元件区内,其中该些第一浅沟槽隔离界定出多个有源区,每一该些有源区在一第一方向具有一第一尺寸以及在垂直于该第一方向的一第二方向具有一第二尺寸的一第一几何形状,以及其中该第一尺寸大于该第二尺寸;以及
形成多个第二浅沟槽隔离于该半导体结构的一虚拟区内,其中该虚拟区邻接于该元件区,该些第二浅沟槽隔离界定出多个虚拟有源区,每一该些虚拟有源区在该第一方向具有一第三尺寸以及在该第二方向具有一第四尺寸的一第二几何形状,其中该第三尺寸大于该第四尺寸。
9.根据权利要求8所述的半导体结构的制造方法,其特征在于,该第三尺寸范围介于该第一尺寸的0.1倍至10倍之间,以及该第四尺寸范围介于该第二尺寸的0.1倍至10倍之间。
10.根据权利要求8所述的半导体结构的制造方法,其特征在于,还包含在形成该些第一浅沟槽隔离以及该些第二浅沟槽隔离后,对该半导体结构使用一热退火制程。
CN201010159309.7A 2009-12-31 2010-04-26 半导体结构及其制造方法 Active CN102117805B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/651,029 US8618610B2 (en) 2009-12-31 2009-12-31 Dummy pattern design for thermal annealing
US12/651,029 2009-12-31

Publications (2)

Publication Number Publication Date
CN102117805A CN102117805A (zh) 2011-07-06
CN102117805B true CN102117805B (zh) 2012-11-14

Family

ID=44186388

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010159309.7A Active CN102117805B (zh) 2009-12-31 2010-04-26 半导体结构及其制造方法

Country Status (2)

Country Link
US (2) US8618610B2 (zh)
CN (1) CN102117805B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8928110B2 (en) * 2011-09-09 2015-01-06 United Microelectronics Corp. Dummy cell pattern for improving device thermal uniformity
US8697537B2 (en) * 2012-02-01 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning for a semiconductor device
CN103579334A (zh) * 2012-07-24 2014-02-12 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
JP2014060185A (ja) * 2012-09-14 2014-04-03 Toshiba Corp 半導体装置の製造方法および半導体装置
US9171777B2 (en) * 2012-12-19 2015-10-27 Infineon Technologies Ag Semiconductor device and method for manufacturing a semiconductor device
US9202859B1 (en) 2014-05-27 2015-12-01 Texas Instruments Incorporated Well resistors and polysilicon resistors
US9594862B2 (en) * 2014-06-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with non-printable dummy features
US9412745B1 (en) * 2015-02-12 2016-08-09 United Microelectronics Corp. Semiconductor structure having a center dummy region
US9362275B1 (en) * 2015-02-13 2016-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dummy gate structures
US10096609B2 (en) 2015-02-16 2018-10-09 Globalfoundries Inc. Modified tungsten silicon
US9768084B1 (en) * 2016-03-21 2017-09-19 Globalfoundries Inc. Inline monitoring of transistor-to-transistor critical dimension
US10269784B2 (en) 2016-07-01 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layout and method of configuring the same
US10014266B2 (en) 2016-07-26 2018-07-03 Raytheon Company Monolithic microwave integrated circuit (MMIC) and method for forming such MMIC having rapid thermal annealing compensation elements
US10340357B2 (en) 2017-09-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dishing prevention dummy structures for semiconductor devices
US10510685B2 (en) 2017-09-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dishing prevention columns for bipolar junction transistors
KR20210067763A (ko) 2019-11-29 2021-06-08 삼성전자주식회사 집적회로 소자

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101454870A (zh) * 2006-06-07 2009-06-10 国际商业机器公司 用于改善快速热退火均匀性的伪形状的可变重叠

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949443B2 (en) * 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Company High performance semiconductor devices fabricated with strain-induced processes and methods for making same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101454870A (zh) * 2006-06-07 2009-06-10 国际商业机器公司 用于改善快速热退火均匀性的伪形状的可变重叠

Also Published As

Publication number Publication date
US8772056B2 (en) 2014-07-08
US8618610B2 (en) 2013-12-31
US20110156149A1 (en) 2011-06-30
CN102117805A (zh) 2011-07-06
US20140106538A1 (en) 2014-04-17

Similar Documents

Publication Publication Date Title
CN102117805B (zh) 半导体结构及其制造方法
US7026679B2 (en) Semiconductor integrated circuit device and the process of manufacturing the same having poly-silicon plug, wiring trenches and bit lines formed in the wiring trenches having a width finer than a predetermined size
US6087225A (en) Method for dual gate oxide dual workfunction CMOS
CN102117774A (zh) 集成电路元件的形成方法
US8722500B2 (en) Methods for fabricating integrated circuits having gate to active and gate to gate interconnects
CN101459046B (zh) 轻掺杂漏极掺杂区方块电阻的测试结构及其制造方法
KR100258364B1 (ko) 반도체 소자의 콘택 제조방법
US6787857B2 (en) Contact structure a semiconductor device and manufacturing method thereof
JPH11354735A (ja) 半導体素子の製造方法
US6642093B2 (en) Method for manufacturing a semiconductor device
US20040029327A1 (en) Semiconductor device with an improved gate electrode pattern and a method of manufacturing the same
US20020045305A1 (en) Method for fabricating semiconductor device
US8188550B2 (en) Integrated circuit structure with electrical strap and its method of forming
US7122476B2 (en) Method for fabricating semiconductor device by forming trenches in different depths at a cellregion and a peripheral region for reducing self aligned source resistance at the cell region
US9147692B2 (en) Method for forming separate narrow lines, method for fabricating memory structure, and product thereof
US7588984B2 (en) Method to define a transistor gate of a DRAM and the transistor gate using same
JPH10135354A (ja) 半導体装置及びその製造方法
KR100620656B1 (ko) 반도체소자의 퓨즈 제조방법
US20240179896A1 (en) 1.5t otp memory device and method for fabricating same
US6514807B1 (en) Method for fabricating semiconductor device applied system on chip
US11664332B2 (en) Always-on FinFET with camouflaged punch stop implants for protecting integrated circuits from reverse engineering
KR20040048039A (ko) 반도체 소자의 제조 방법
US20160190021A1 (en) Integrated circuits, methods of forming the same, and methods of determining gate dielectric layer electrical thickness in integrated circuits
KR100583099B1 (ko) 반도체소자의 금속배선 형성방법
CN113990804A (zh) 三维存储器及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant