CN102077327A - 光掩模等离子体蚀刻过程中的原位室干法清洁方法和设备 - Google Patents

光掩模等离子体蚀刻过程中的原位室干法清洁方法和设备 Download PDF

Info

Publication number
CN102077327A
CN102077327A CN2009801245450A CN200980124545A CN102077327A CN 102077327 A CN102077327 A CN 102077327A CN 2009801245450 A CN2009801245450 A CN 2009801245450A CN 200980124545 A CN200980124545 A CN 200980124545A CN 102077327 A CN102077327 A CN 102077327A
Authority
CN
China
Prior art keywords
clean air
photomask
plasma
flow velocity
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801245450A
Other languages
English (en)
Inventor
晓义·陈
毛治刚
大卫·可尼科
迈克尔·葛莱柏根
达林·比文斯
马达威·钱德拉胡德
易卜拉欣·伊布拉赫姆
阿杰伊·库马尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102077327A publication Critical patent/CN102077327A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本发明提供了光掩模等离子体蚀刻过程中的原位室干法清洁方法和设备。本发明的实施例包括用于在光掩模等离子体蚀刻之后原位室干法清洁的方法。在一个实施例中,该方法包括:将光掩模放置在支撑底座上;将处理气体引入处理室中;由处理气体形成等离子体;在存在等离子体的情况下蚀刻设置在光掩模上的含铬层;从支撑底座移除光掩模;将伪衬底放置在底座上;以及通过在伪衬底被放置在底座上时使含O2的清洁气体流动通过处理室,来执行原位干法清洁处理。

Description

光掩模等离子体蚀刻过程中的原位室干法清洁方法和设备
技术领域
本发明的实施例主要涉及用于使用等离子体蚀刻来处理光掩模衬底的方法和设备。具体来说,本发明涉及用于在光掩模衬底的等离子体蚀刻过程中的原位室干法清洁的方法和设备。
背景技术
微电子器件或集成电路器件的制造通常涉及需要在半导体、电介质和导体衬底上执行数百个单独步骤的复杂工艺序列。上述工艺步骤的示例包括氧化、扩散、离子注入、薄膜沉积、清洁、蚀刻和光刻。使用光刻和蚀刻(经常称作图案转印步骤),所需的图案首先被转印至光敏材料层(例如光刻胶),然后在随后的蚀刻过程中被转印至基底(underlying)材料层。在光刻步骤中,覆盖(blanket)光刻胶通过含有图案的光罩(reticle)或光掩模而暴露于辐射源,从而使得该图案的图像形成于光刻胶中,其中光罩或光掩模通常形成于玻璃或石英衬底上所承载的含金属层中。通过使得光刻胶在适当的化学溶液中显影,将光刻胶的多个部分去除,因此产生了图案化的光刻胶层。在光刻胶图案用作掩模的情况下,例如,使用干法蚀刻将基底材料层暴露于反应环境,这导致图案被转印至基底材料层。
适合用于先进设备制造的可商购的光掩模蚀刻设备的示例是可从Santa Clara,California的Applied Materials,Inc.购得的
Figure BPA00001280495300011
光掩模蚀刻系统。在微电子器件的制造中,与通常的湿法化学蚀刻相比,由等离子处理(例如,光掩模等离子蚀刻处理)所图案化的含金属层提供了更好的临界尺寸控制。等离子体蚀刻技术被广泛的应用于半导体和薄膜晶体管液晶显示器(TFT-LCD)工业中。
在等离子室中对光掩模进行干法蚀刻的过程中,诸如铬(Cr)、MoSi、石英、SiON或钽基化合物的材料可能被沉积并形成膜堆叠的层。一个膜堆叠的示例可能包括光刻胶、Cr和石英的层。在执行蚀刻之后,蚀刻副产品可能聚积并沉积在室的内壁上。在蚀刻过程中可以使用光学发射光谱(OES)来确定副产品。例如,当对Cr进行干法蚀刻时,通过OES发现蚀刻副产品主要是具有一些Cr的光刻胶。当所沉积的蚀刻副产品达到一定厚度时,副产品可能会脱离内壁并由于落在衬底上而污染光掩模,这对光掩模造成不可恢复的缺陷。因此,去除这样沉积的蚀刻副产品是很重要的。
一种通常的用于清洁等离子体室的方法是打开室然后拆卸其中的组件。其后,通过物理或化学方法去除蚀刻副产品。例如,使用去离子水(DIW)和异丙醇(IPA)来清洁室的组件和内壁。但是,这样的湿法清洁方法是很耗费时间的,导致了掩模产品减少的弊端。在其他等离子室中还使用了原位干法清洁,但是还未得知适合于光掩模蚀刻工艺的可商业应用的原位干法清洁工艺。
因此,需要适合于光掩模制造的改进的室清洁工艺。
发明内容
本发明的实施例包括用于在光掩模等离子体蚀刻之后原位室干法清洁的方法。在一个实施例中,提供了一种方法,其包括:将诸如光掩模的衬底放置在支撑底座上;将处理气体引入处理室中;由处理气体形成等离子体;在存在等离子体的情况下蚀刻设置在光掩模上的含铬层;从支撑底座移除光掩模;将伪衬底放置在底座上;和通过在伪衬底放置在底座上时使含O2的清洁气体流动通过处理室,来执行原位干法清洁处理。
在另一实施例中,用于在光掩模等离子体蚀刻过程中原位室干法清洁的方法包括:将光掩模放置在设置于处理室中的支撑底座上;在施加偏压功率时,对设置在光掩模上的含铬层进行等离子体蚀刻;从处理室移除蚀刻掩模;和在从处理室移除所蚀刻的光掩模之后,在存在由含O2清洁气体所形成的清洁等离子体和不存在偏压功率的情况下,执行原位干法清洁处理。
在一个实施例中,用于原位室干法清洁的方法包括使用无氯清洁等离子体。在另一实施例中,用于原位室干法清洁的方法包括使用含氯和氧的清洁等离子体。在另一实施例中,用于原位室干法清洁的方法包括在不存在偏压功率的情况下的清洁等离子体。
附图说明
以便可以详细理解本发明的上述特征,通过参考实施例可以获得上面简要概括的本发明的更加具体的描述,附图中举例说明了一些实施例。但是,应当注意,因为本发明可以允许其他等效的实施例,所以附图只是举例说明本发明的典型实施例,因此不应当被认为是对本发明范围的限制。
图1是根据本发明的一个实施例的用于光掩模等离子体蚀刻的处理室的示意图;
图2是根据本发明的一个实施例的用于在光掩模等离子体蚀刻之后清洁等离子体室的方法的流程图;和
图3是示出根据本发明的一个实施例的、在被用作清洁气体时氧气(O2)与氯气(Cl2)之间的稳态条件比较的示图。
为便于理解,可能的情况下,使用相同的附图标记来表示多个附图共有的相同的元素。可以考虑到,一个实施例中出现的元素可以有利的用于其它实施例而无需具体描述。
具体实施方式
本发明的实施例提供了在光掩模等离子体蚀刻过程中原位室干法清洁的方法和设备。
图1示出了可以实施本发明的蚀刻反应器100的示意图。适合与在此公开的教导一同使用的合适的反应器例如包括可从Santa Clara,California的Applied Materials,Inc.购得的Decoupled Plasma Source(
Figure BPA00001280495300031
II)反应器或
Figure BPA00001280495300032
Photomask蚀刻系统。在此所示的反应器100的具体实施例用于进行说明,而不应当被用于限制本发明的范围。可以预见本发明可以用于其它等离子体处理室,包括来自其他制造商的等离子体处理室。
反应器100通常包括处理室102和控制器146,所述处理室102具有位于导电主体(壁)104中的衬底底座124。处理室102具有大致平坦的电介质顶板或顶盖108。处理室102的其他修改可以具有其他类型的顶板,例如圆顶形顶板。天线110设置在顶板108上方,并且包括了可以被选择性地控制的一个或多个感应线圈元件(图1中示出了两个同轴元件110a和110b)。天线110通过第一匹配网络114耦合到等离子体功率源112,所述等离子体功率源112通常能够在从约50kHz到约13.56MHz的可调频率下高达约3000W。
衬底底座(阴极)124通过第二匹配网络142耦合到偏压功率源140。偏压源140通常是能够产生连续或脉冲功率的、在约13.56MHz频率下的高达约500W的源。可选的,功率源140可以是DC或脉冲DC功率源。
在一个实施例中,衬底底座124包括静电卡盘160,该静电卡盘160具有至少一个夹紧电极132并且由卡盘电源166所控制。在可选的实施例中,衬底底座124可以包括衬底保持机构,例如基座覆盖环、机械卡盘等。
光罩适配器182用于确保衬底(例如,掩模或光罩)122位于衬底底座124上。光罩适配器182通常包括下部184和顶部186,下部184覆盖底座124(例如,静电卡盘160)的上表面并且顶部186具有开口188,确定该开口188的大小和形状以支持衬底122。开口188通常相对于底座124大致居中。适配器182通常由单块耐蚀刻、耐高温材料(例如聚酰亚胺或石英)制成。边缘环126可以覆盖适配器182和/或将适配器182固定到底座124。
举升机构138用于使适配器182以及衬底122降低到衬底底座124上或升高离开衬底底座124。通常,举升机构162包括穿过各自的引导孔136的多个举升销130(示出了一个举升销)。
在运行中,通过稳定衬底底座124的温度来控制衬底122的温度。在一个实施例中,衬底支撑底座124包括电阻加热器144和散热器128。电阻加热器144通常包括至少一个加热元件134,并且由加热器电源168进行调节。经由气体导管158将来自气体源156的背侧气体(例如,氦(He))提供到形成于衬底122下方的底座表面中的通道,以促进底座124和衬底122之间的热传递。在处理过程中,可以通过电阻加热器144将底座124加热到稳态温度,这与背侧气体结合来促进衬底122的均匀加热。使用上述热控制,可以将衬底122保持在约0到350摄氏度(℃)之间的温度。
离子-自由基屏蔽件170可以在底座124上方设置在处理室102中。离子-自由基屏蔽件170与室壁104和底座124电绝缘,使得不会提供从板到接地端的接地路径。离子-自由基屏蔽件170的一个实施例包括大致平坦的板172和对板172进行支撑的多个支脚176。可以由符合工艺需求的多种材料制成的板172包括限定板172中的所需开口面积的一个或多个开口(孔)174。该开口面积控制从形成在处理室102的上处理容积178中的等离子体传递到位于离子-自由基屏蔽件170和衬底122之间的下处理容积180的离子的量。开口面积越大,能够穿过离子-自由基屏蔽件170的离子越多。同样的,孔174的尺寸控制容积180中的离子密度,并且屏蔽件170用作离子过滤器。板172还可以包括滤筛(screen)或滤网,其中滤筛或滤网的开口面积与由孔174提供的所需开口面积相对应。可选的,还可以使用板与滤筛或滤网的组合。
在处理过程中,由于来自等离子体的电子轰击,在板172的表面上产生电势。电势吸引来自等离子体的离子,从等离子体中有效地过滤离子,同时允许中性粒子(例如,自由基)穿过板172的孔174。因此,通过减少穿过离子-自由基屏蔽件170的离子的量,可以以更加受控的方式进行通过中性粒子或自由基进行的掩模蚀刻。这减小了对光刻胶的侵蚀以及减少了光刻胶向图案化的材料层的侧壁上的溅射,因此导致了改善的蚀刻偏差和临界尺寸均匀性。
在等离子体蚀刻之前,从气体面板120例如通过位于衬底底座124上方的一个或多个入口116(例如,开口、喷射器、喷嘴等)向处理室102提供一种或多种处理气体。在图1的实施例中,使用环形气体通道118将处理气体提供到入口116,该环形气体通道118可以形成在壁104中或者形成在连接到壁104的气体环(如图所示)中。在蚀刻过程中,通过从等离子体源112向天线110施加功率来维持由处理气体形成的等离子体。
使用节流阀162和真空泵164来控制处理室102中的压力。可以使用穿过壁104的容纳液体的导管(未示出)来控制壁104的温度。通常,室壁104由金属(例如,铝、不锈钢等)制成,并且连接到电气接地端106。处理室102还包括用于过程控制、内部诊断、终点检测等的常规系统。上述系统共同表示为支持系统154。在一个实施例中,可以使用光学发射光谱(OES)作为终点检测工具。
控制器146包括中央处理器(CPU)150、存储器148和用于CPU 150的支持电路152,并且如下详细讨论的,控制器146有助于处理室102的组件的控制并且照这样有助于蚀刻过程的控制。控制器146可以是能够用在用于控制各种室和子处理器的工业设置中的任何形式的通用计算机处理器中的一种。CPU 150的存储器或计算机可读介质642可以是一种或多种容易获得的存储器,例如,随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或者本地或远程的任何其他形式的数字存储器。支持电路152连接到CPU 150,用于以常规方式对处理器提供支持。这些电路包括缓存、电源、时钟电路、输入/输出电路和子系统等。发明方法通常作为软件程序存储在存储器148中。可选的,这种软件程序还可以由第二CPU(未示出)存储和/或执行,该第二CPU与由CPU 150控制的硬件远程地定位。
图2示出了用于蚀刻光掩模的方法200,其中包括了根据本发明的实施例的原位室干法清洁。方法200开始于框202,在此时将衬底放置在处理室中的底座上。在其中存在离子屏蔽件的实施例中,屏蔽件可以包括具有至少一种相互不同的特性(例如,材料或电位偏置)的两个区。待蚀刻的衬底可以包括光学透明的硅基材料,例如石英(即,氧化硅、SiO2),并具有设置在石英表面上的金属不透光光屏蔽层。不透光光屏蔽金属通常包括含铬的材料,例如铬或氮氧化铬。衬底还可以包括插入石英与铬之间的、掺杂有钼(Mo)的氮化硅(SiN)层。
在框204处,将一种或多种处理气体通过气体入口引入处理室中。示例性处理气体可以包括氧气(O2)或含氧气体(例如一氧化碳(CO))和/或含卤素气体(例如用于蚀刻金属层的含氯气体)。处理气体还可以包括惰性气体或其他含氧气体。一氧化碳被有利地用于形成钝化聚合物,所述钝化聚合物沉积在形成于图案化的光刻胶材料和经蚀刻的金属层中的开口和图案的表面(特别是侧壁)上。含氯气体选自由氯气(Cl2)、四氯化硅(SiCl4)、氯化氢(HCl)及其组合所组成的组,并且用于提供活性基以蚀刻金属层。
可选的,DC偏压可以被施加到离子-自由基屏蔽件的至少一个区。在框206处,例如通过从等离子体功率源向天线施加在约200到约2000W之间的RF功率,来在离子-自由基屏蔽件上方的处理容积中由一种或多种处理气体形成等离子体。按照通过所施加的偏压和穿过离子-自由基屏蔽件的等离子体所建立的电位而确定的分布图案,来自等离子体的离子和中性粒子穿过离子-自由基屏蔽件。在下处理区域中通过离子和中性粒子来蚀刻衬底。
在框208处,从在处理室内部的底座移除衬底,并且将伪衬底(dummy substrate)放置在底座上,而由伪衬底保护底座,执行原位干法清洁。
在一个实施例中,在框210处,原位干法清洁包括将含O2的清洁气体(例如,第一清洁气体)经由气体入口引入处理室中。由处理气体形成等离子体以对室进行清洁。可选的,在框212处,可以将第二处理气体经由气体入口引入处理室中,并用第一处理气体将第二处理气体激励到等离子体状态。在框214处,当在处理室内部执行干法清洁时,使用OES来执行干法清洁过程的终点检测,以确定是否已经去除了处理室中的副产品。在一个实施例中,还可以使用预定时间来确定副产品的去除。在完成清洁过程之后,处理室马上准备好下一次的蚀刻过程。
在一个实施例中,可以使用氧气(O2)作为第一处理气体来执行干法清洁,其中氧气具有在50到1000标准立方厘米每分钟(sccm)之间(例如在约50到400sccm之间、诸如约100sccm)的流速。氧气可以被用来去除在光掩模蚀刻之后残留的蚀刻副产品。因此,可以从等离子体功率源向天线施加范围在150到1500W之间(例如在约300到1000W之间、诸如约600W)的RF功率。处理室中的压力可以被控制在约2到50mTorr之间(例如在约3到20mTorr之间、诸如约8mTorr)。外部/内部线圈的功率比(CPR)可以被控制在15%到85%之间(例如在约15%到75%、诸如约55%)。处理室可以暴露于处理气体中持续约200秒的时间段。
在一个实施例中,清洁气体是无氯的。在另一实施例中,在没有偏压功率的情况下由清洁气体形成清洁等离子体。
在另一实施例中,还可以使用第二清洁气体与第一清洁气体一起来执行干法清洁。可以如上所述地提供第一清洁气体。可以以25到500sccm之间(例如在约50到400sccm之间、诸如约100sccm)的流速提供氯气(Cl2)作为第二清洁气体。因此,可以从等离子体功率源向天线施加范围在150到1500W之间(例如在约300到1000W之间、更优选地为约600W)的RF功率。处理室中的压力可以被控制在约2到50mTorr之间(优选地在约3到20mTorr之间、诸如约8mTorr)。外部/内部线圈的功率比(CPR)可以被控制在15%到85%之间(优选地在约15%到75%之间、更优选地为约55%)。处理室可以暴露于处理气体中持续约200秒的时间段。在另一实施例中,在不存在偏压功率的情况下由清洁气体形成含氧和氯的清洁等离子体。
图3是示出根据本发明的一个实施例在O2和Cl2被用作清洁气体时O2和Cl2之间的稳态条件比较的示图300。在确定随着时间过去而去除的副产品的同时,可以使用OES来测量稳态条件。在两条线中示出了稳态条件,一条线302表示O2的状态条件,一条线304表示Cl2的状态条件。当在干法清洁过程中将Cl2用作处理气体时,尽管Cl2可以用于去除处理室内部的副产品,但是由于Cl2的侵蚀性,在去除副产品之后,Cl2可能还会继续侵蚀处理室表面,尤其是由石英层叠并且具有多个孔174以控制处理室中的离子分布的板172的表面。这在线304中示出为表示Cl2的线304的斜面在初始干法清洁步骤之后持续下降。因此,在该清洁过程中,处理室的条件永远无法达到可重复的处理所需的稳态条件。相反,在将O2用作处理气体时,表示O2的线302的斜面越接近水平线,就越接近稳态,这更适合于可重复的处理。因此,需要使用O2气体来帮助去除Cl2并使状态条件返回稳态,使得处理室可以被用于衬底的可重复的处理。
尽管前文涉及本发明的实施例,但是在不脱离本发明的基本范围的情况下,可以想到本发明的其他实施例,本发明的范围由权利要求书所确定。

Claims (15)

1.一种用于在光掩模等离子体蚀刻之后的原位室干法清洁的方法,其包括如下步骤:
将光掩模放置在支撑底座上;
将处理气体引入处理室中;
由所述处理气体形成等离子体;
在存在等离子体的情况下,蚀刻设置在所述光掩模上的含铬层;
从所述支撑底座移除所述光掩模;以及
通过在伪衬底被放置在所述支撑底座上时使得含O2的清洁气体流动通过所述处理室,来执行原位干法清洁处理。
2.根据权利要求1所述的方法,其中,所述清洁气体不含氯。
3.根据权利要求1所述的方法,其中,所述清洁气体还包括氯。
4.根据权利要求3所述的方法,其中,所述清洁气体包括以约50到约1000sccm的速率提供的氧气。
5.根据权利要求1所述的方法,其中,所述清洁气体中的氧气的流速在约50到约1000sccm之间,并且其中所述清洁气体中的氯气的流速在约25到约500sccm之间。
6.根据权利要求1所述的方法,其中,所述清洁气体中的氧气的流速在约50到约400sccm之间,并且其中所述清洁气体中的氯气的流速在约50到约400sccm之间。
7.根据权利要求1所述的方法,其中,所述清洁气体中的氧气的流速为约100sccm,并且其中所述清洁气体中的氯气的流速为约100sccm。
8.根据权利要求1所述的方法,其中,在不存在偏压功率的情况下执行所述干法清洁处理。
9.根据权利要求1所述的方法,其中,使用RF功率来维持由所述清洁气体形成的等离子体,在不存在偏压功率的情况下,所施加的RF功率的范围在约150到1500W之间。
10.根据权利要求9所述的方法,其中,以在约15%到约85%之间的外部线圈与内部线圈的功率比,将RF功率施加到邻近所述室放置的所述外部线圈和所述内部线圈。
11.一种用于在光掩模等离子体蚀刻之后的原位室干法清洁的方法,其包括如下步骤:
将光掩模放置在设置于处理室中的支撑底座上;
在施加偏压功率的同时,对放置在所述光掩模上的含铬层进行等离子体蚀刻;
从所述处理室移除经蚀刻的所述光掩模;和
在从所述处理室移除经蚀刻的所述光掩模之后,在存在由含O2的清洁气体形成的清洁等离子体以及不存在偏压功率的情况下,执行原位干法清洁处理。
12.根据权利要求11所述的方法,其中,所述清洁气体中的氧气的流速在约50到约1000sccm之间,并且其中所述清洁气体中的氯气的流速在约25到约500sccm之间。
13.根据权利要求11所述的方法,其中,所述清洁气体中的氧气的流速在约50到约400sccm之间,并且其中所述清洁气体中的氯气的流速在约50到约400sccm之间。
14.根据权利要求12所述的方法,其中,使用RF功率来维持所述清洁等离子体,在不存在偏压功率的情况下,所述RF功率的范围在150到1500W之间。
15.根据权利要求11所述的方法还包括如下步骤:
在移除经蚀刻的所述光掩模之后,在所述支撑底座上放置伪衬底;以及
在所述伪衬底被放置在所述支撑底座上时,执行所述原位干法清洁。
CN2009801245450A 2008-06-26 2009-06-22 光掩模等离子体蚀刻过程中的原位室干法清洁方法和设备 Pending CN102077327A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/147,341 US20090325387A1 (en) 2008-06-26 2008-06-26 Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US12/147,341 2008-06-26
PCT/US2009/048162 WO2009158311A2 (en) 2008-06-26 2009-06-22 Methods and apparatus for in-situ chamber dry clean during photomask plasma etching

Publications (1)

Publication Number Publication Date
CN102077327A true CN102077327A (zh) 2011-05-25

Family

ID=41445251

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801245450A Pending CN102077327A (zh) 2008-06-26 2009-06-22 光掩模等离子体蚀刻过程中的原位室干法清洁方法和设备

Country Status (5)

Country Link
US (1) US20090325387A1 (zh)
JP (1) JP2011526082A (zh)
KR (1) KR101445153B1 (zh)
CN (1) CN102077327A (zh)
WO (1) WO2009158311A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105188249A (zh) * 2014-06-16 2015-12-23 朗姆研究公司 确定反应室的介质表面上导电膜的存在
TWI550134B (zh) * 2016-04-22 2016-09-21 台灣美日先進光罩股份有限公司 用於電漿處理的製程方法以及光罩板材
CN109690727A (zh) * 2016-09-12 2019-04-26 瓦里安半导体设备公司 自由基与反应性中性离子束的角度控制

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
KR102084961B1 (ko) * 2013-10-10 2020-03-06 주식회사 디엠에스 건식 식각용 트레이 어셈블리 및 이를 이용한 건식 식각장치
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
JP2015211156A (ja) * 2014-04-28 2015-11-24 東京エレクトロン株式会社 ドライクリーニング方法及びプラズマ処理装置
JP6346855B2 (ja) 2014-12-25 2018-06-20 東京エレクトロン株式会社 静電吸着方法及び基板処理装置
KR102304823B1 (ko) * 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
WO2018094219A1 (en) 2016-11-18 2018-05-24 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
KR101997509B1 (ko) 2017-11-17 2019-07-08 김광석 포토마스크 표면 이물질 세정방법
KR101968961B1 (ko) 2017-11-17 2019-04-15 김광석 포토마스크 표면 이물질 세정장비용 마스크 얼라인 장치
KR101968960B1 (ko) 2017-11-17 2019-04-15 김광석 포토마스크 표면 이물질 세정장치
DE102019124781B4 (de) * 2018-09-28 2024-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102126208B1 (ko) 2019-04-05 2020-06-24 김광석 포토마스크 세정조건에 따라 마스크 고정예열부와 포토마스크가 동시에 승하강되는 포토마스크 표면 이물질 세정용 플라즈마 장치
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
CN110923624B (zh) * 2019-12-13 2020-11-24 北京师范大学 一种基于离子束印刷系统的离子束印刷方法
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
US11666952B2 (en) * 2020-03-06 2023-06-06 Applied Materials, Inc. Condition selectable backside gas
CN114664620A (zh) * 2020-12-23 2022-06-24 中微半导体设备(上海)股份有限公司 等离子体处理装置及其处理方法
JP7500450B2 (ja) * 2021-01-21 2024-06-17 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
JP2000260749A (ja) * 1999-03-05 2000-09-22 Toshiba Corp ドライエッチング装置及びそのプラズマクリーニング方法
JP3905462B2 (ja) * 2002-11-20 2007-04-18 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP4764028B2 (ja) * 2005-02-28 2011-08-31 株式会社日立ハイテクノロジーズ プラズマ処理方法
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105188249A (zh) * 2014-06-16 2015-12-23 朗姆研究公司 确定反应室的介质表面上导电膜的存在
TWI550134B (zh) * 2016-04-22 2016-09-21 台灣美日先進光罩股份有限公司 用於電漿處理的製程方法以及光罩板材
CN109690727A (zh) * 2016-09-12 2019-04-26 瓦里安半导体设备公司 自由基与反应性中性离子束的角度控制
CN109690727B (zh) * 2016-09-12 2020-11-06 瓦里安半导体设备公司 工件加工设备

Also Published As

Publication number Publication date
JP2011526082A (ja) 2011-09-29
WO2009158311A3 (en) 2010-08-19
WO2009158311A2 (en) 2009-12-30
US20090325387A1 (en) 2009-12-31
KR20110050438A (ko) 2011-05-13
KR101445153B1 (ko) 2014-09-29

Similar Documents

Publication Publication Date Title
CN102077327A (zh) 光掩模等离子体蚀刻过程中的原位室干法清洁方法和设备
US20130048606A1 (en) Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
TW540114B (en) Substrate cleaning apparatus and method
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US7375038B2 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
CN1904727B (zh) 便于光掩模制造中的工艺集成的组合工具和方法
JP4716791B2 (ja) フォトマスクプラズマエッチングの為の方法および装置
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7416677B2 (en) Exhaust assembly for plasma processing system and method
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
TWI668530B (zh) 被處理體之處理方法
US20090151870A1 (en) Silicon carbide focus ring for plasma etching system
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
JP2006215552A (ja) フォトマスク製作に適したクロム層をプラズマエッチングするための方法
JP2001526463A (ja) 基板から有機反射防止皮膜をエッチングするためのシステムと方法
KR101346897B1 (ko) 에칭 방법 및 플라즈마 처리 시스템
US10115572B2 (en) Methods for in-situ chamber clean in plasma etching processing chamber
WO2009111344A2 (en) Method and apparatus for removing polymer from a substrate
JP2006512783A (ja) 2層フォトレジストのドライ現像方法及び装置
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
WO2005066717A1 (en) Method and apparatus for removing photoresist from a substrate
KR20210032904A (ko) 실리콘 산화막을 에칭하는 방법 및 플라즈마 처리 장치
TW202235677A (zh) 電漿腔室中之灰分比回復方法
TW202244312A (zh) 基板處理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20110525