CN101918948B - 半导体图案化操作的经改进均匀性 - Google Patents

半导体图案化操作的经改进均匀性 Download PDF

Info

Publication number
CN101918948B
CN101918948B CN2009801022325A CN200980102232A CN101918948B CN 101918948 B CN101918948 B CN 101918948B CN 2009801022325 A CN2009801022325 A CN 2009801022325A CN 200980102232 A CN200980102232 A CN 200980102232A CN 101918948 B CN101918948 B CN 101918948B
Authority
CN
China
Prior art keywords
density
pattern
zone
semiconductor device
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009801022325A
Other languages
English (en)
Other versions
CN101918948A (zh
Inventor
克里斯托夫·皮埃拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cadence Design Systems Inc
Original Assignee
Cadence Design Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cadence Design Systems Inc filed Critical Cadence Design Systems Inc
Publication of CN101918948A publication Critical patent/CN101918948A/zh
Application granted granted Critical
Publication of CN101918948B publication Critical patent/CN101918948B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

半导体装置优化的系统及方法包含用以确定用于所述半导体装置的层的数据集的系统及方法,其中操作包含:接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及扩充所述数据集以包含所述层的具有比阈值密度低的密度的区域中的牺牲材料的额外图案。

Description

半导体图案化操作的经改进均匀性
技术领域
本发明涉及装置制造,且更特定来说,一些实施例涉及半导体装置光刻技术。
背景技术
通常通过将多个装置及其互连件图案化到例如半导体晶片等衬底上来形成集成电路或IC。此过程通常以用于将构成IC的(一个或多个)电路的设计开始。举例来说,通常使用例如
Figure BPA00001183479400011
或VHSIC硬件描述语言(VHDL)等硬件描述语言(HDL)来采用自顶向下设计方法。通过使用HDL,设计者通过以分级方式界定集成电路的功能组件来形成所述电路。
依据HDL或其它高级描述,可通过逻辑合成来确定实际逻辑单元实施方案,所述逻辑合成将电路的功能描述转换成具体电路实施方案。接着将逻辑单元指派给装置布局中的物理位置且界定其互连。有时将此称为布局和布线。设计者所使用的放置和布线工具通常接受已由逻辑合成过程产生的经平面化网表作为其输入。此经平面化网表从目标标准单元库中识别特定逻辑单元实例且描述特定单元到单元连接性。通过应用物理设计过程,对网表文件的逻辑单元进行放置和布线,从而产生布局文件。接着,可在将共同地形成构成集成电路的组件的装置的一连串层中将此布局转移或施加到半导体衬底。
将在所述衬底上图案化此类层的过程称为光刻。在光刻期间,使用由布局文件形成的一连串光掩模将所述布局逐层地转移到所述衬底上。存在不同类型的光掩模,包含二元玻璃上铬、衰减相移掩模(attPSM)及交替相移掩模(altPSM)。光掩模或更简单地掩模提供其相关联集成电路层或一层的若干部分的物理几何结构的图像。使光穿过由掩模元件界定的透明区段将相关联层的布局图案转移到晶片上。使光图案穿过成像透镜系统且使其以所需大小聚焦于晶片表面上。典型的光刻系统使用UV光能量将掩模图案投射到晶片表面上。经投射的光图案与晶片上的光敏涂层(且依据所使用的涂层)相互作用;其可经固化或经再现以易于由于曝光而移除。因此,可使用将掩模图案投射到涂层上来将所述图案转移到晶片。
随着对在较小封装中提供较大功能性的不断需要以及较复杂芯片上系统及混合信号设计的进化,IC特征几何结构正被驱动为越来越小的尺寸。此外,特征尺寸的缩减可导致可从给定晶片获得的芯片的数目的增加。然而,将不断变小的特征的准确图像投射到晶片上的能力受到所使用光的波长及透镜系统从经照明掩模捕获足够衍射级的能力的限制。
投射系统可印刷的最小特征大小可由下式近似:
F = k 1 * λ N A
其中F是最小特征大小,kl是过程相关因素的系数,λ是所使用光的波长且NA是从晶片所看到的透镜的数值孔径。使用当前可用的曝光工具,kl限制于0.25(针对单个曝光)。借助使用波长为248nm到193nm的深紫外(DUV)光的光刻过程,可实现约50nm的最小特征大小。因此,常规光学光刻技术的分辨率限度不断受到次波长或低kl、关键IC特征几何结构的尺寸的挑战。
不仅关键尺寸特征几何结构的大小根据穆尔定律(Moore′s Law)预测或甚至比穆尔定律预测更快地减小,而且这些特征几何结构的已经很大的数目正在以显著的速率增长。此外,由于通过掩模级的分辨率增强技术减轻光学接近效应失真的必要性,总体多边形图计数正在猛涨。这些关键特征几何结构也因非线性成像的严格性及灵敏性而被更精确地图案化。次波长或低kl应用因高度非线性成像行为而需要极高程度的精确度,所述行为通常以大因数且以非直观方式放大掩模误差。
对于处于193nm波长下的当前光刻技术,光的光学特性正影响减小特征大小的能力。一种增加分辨率的方法是移动到更小的光波长。举例来说,一些方法已移动到在约13.5nm极UV范围中的光。然而,此类趋势已由于若干原因而证明是困难的且设计者已移动到非光刻增强以增加图案密度。
目前用来增强特征密度的一类技术称作双重图案化或多重图案化。存在数种类型的在使用的双重图案化,四种最常见的是:双重曝光、间隔件掩模、异质掩模及中间图案积累。图1是图解说明间隔件或自对准双重图案化的一个实例的图示。现在参照图1,在过程100的第一部分中,在包括一个或一个以上硬掩模层15的衬底上方铺设牺牲层10,又将所述衬底沉积于将要图案化的实际层20上方。牺牲层10通常由多晶硅制成。
接下来,如101处所图解说明,在晶片上方沉积例如氮化硅或氧化硅的间隔件材料25。101处还图解说明图案化光致抗蚀剂30以保护选定位置中的间隔件材料。因此,使用另一掩模层在所需位置处施加光致抗蚀剂30的图案。如102处所图解说明,各向异性地蚀刻间隔件材料25,从而优先地移除水平表面上的间隔件材料。因此,剩余的是103中所图解说明的结构,牺牲层10已被蚀刻掉。在执行后续蚀刻过程之后,将间隔件图案转移或蚀刻到下伏层20,如104处所图解说明。
图2是图解说明用来制成所图解说明的特征的自对准双重图案化过程及掩模的俯视图的图示。图2显示由牺牲层10及掩模A形成的图案12、在间隔件蚀刻之后由间隔件35形成的图案14及由特征掩模B形成的逻辑装置16的组件。参照图1,注意掩模B对应于光致抗蚀剂30的图案化。
再次参照图2,如此图所图解说明,一旦移除多晶硅线12,剩余的便是间隔件线14且约使密度加倍。为移除每一对间隔件线14之间的短路50,使用第三掩模层掩模C来移除使剩余迹线线短路的不想要的间隔件部分,如虚线45所图解说明。如图1及2中所提供的实例所图解说明,对于牺牲层10的每一特征线12,过程产生两个线14,借此使密度加倍。
发明内容
根据本发明的各种实施例,用于确定用于半导体装置的层的数据集的系统及方法包含以下操作:接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及扩充所述数据集以包含所述层的具有比阈值密度低的密度的区域中的牺牲材料的额外图案。在一个实施例中,可基于预界定的间隔件宽度来确定所述阈值密度,而在另一实施例中,基于所述层的具有原始图案的最高密度的区域的密度来确定所述阈值密度。
在另一实施例中,所述操作可包含确定跨越所述层的所述部分的原始图案的所述密度的变化,并基于较高密度的区域与具有比所确定的阈值密度低的密度的所述区域之间的密度差来确定将要添加到较低密度的区域的所述额外图案。在另一实施例中,所述操作可进一步包含基于跨越所述区域的原始图案的所述所确定的密度来计算装填图案密度。牺牲材料的所述额外图案可包括不向电路提供电功能的无关图案。
在又一实施例中,一种具有多个层的半导体装置包含通过间隔件双重图案化过程形成的一连串元件且通过一种方法扩充用于间隔件双重图案化的数据集,所述方法包含以下操作:接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及扩充所述数据集以包含所述层的具有比阈值密度低的密度的区域中的牺牲材料的额外图案。在一个实施例中,所述阈值密度可是基于预界定的间隔件宽度确定的,而在另一实施例中,所述阈值密度是基于所述层的具有原始图案的最高密度的区域的密度确定的。
在再一实施例中,扩充所述数据集的操作可包含确定跨越所述层的所述部分的原始图案的所述密度的变化,并基于较高密度的区域与具有比所确定的阈值密度低的密度的所述区域之间的密度差来确定将要添加到较低密度的区域的所述额外图案。在另一实施例中,所述操作可进一步包含基于跨越所述区域的原始图案的所述所确定的密度来计算装填图案密度。牺牲材料的所述额外图案可包括不向电路提供电功能的无关图案。
在又一实施例中,一种用于产生用于半导体装置的层的数据文件的计算机辅助设计设备包括:处理器;存储器,其连接到所述处理器;及计算机可读媒体,其具有嵌入于其中的计算机程序代码,所述计算机程序代码经配置以致使所述处理器执行以下操作:接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及扩充所述数据集以包含所述层的具有比阈值密度低的密度的区域中的牺牲材料的额外图案。
在再一实施例中,一种确定用于半导体装置的层的数据集的方法包含以下过程:接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及更改所述层的区域中的密度以实现所需间隔件材料宽度。在一个应用中,通过在所述层的所述区域中添加牺牲材料的额外图案来更改所述密度。
在又一实施例中,一种确定用于半导体装置的层的数据集的方法包含:接收用于集成电路设计的数据集,所述数据集界定半导体装置的层中的牺牲材料的多个原始图案,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及更改所述集成电路设计以调整所述层的区域中的原始图案的所述密度以实现所述区域的所需间隔件材料宽度。
依据结合以举例方式图解说明根据本发明实施例的特征的附图进行的以下详细描述,本发明的其它特征及方面将变得显而易见。所述发明内容不打算限制本发明的范围,本发明的范围仅由其所附权利要求书界定。
附图说明
根据一个或一个以上各实施例参照以下各图详细描述本发明。仅出于图解说明的目的提供所述图式且其仅描绘本发明的典型或实例性实施例。提供这些图式以促进读者对本发明的理解且不应视为限制本发明的广度、范围或适用性。应注意,为使图解说明清晰且便于图解说明,这些图式未必按比例绘制。
本文中所包含的图中的一些图从不同的视角图解说明本发明的各种实施例。虽然随附描述性文字可将此类视图称为“俯视”、“仰视”或“侧视”图,但此类提及仅为描述性且不意味着或需要以特定空间定向来实施或使用本发明,除非另有明确说明。
图1是图解说明间隔件或自对准双重图案化的一个实例的图示。
图2是图解说明用来制成所图解说明的特征的自对准双重图案化过程及掩模的俯视图的图示。
图3是图解说明根据本发明一个实施例的间隔件双重图案化的实例性过程的图示。
图4是图解说明根据本发明一个实施例用于间隔件双重图案化过程中的图案的图示。
图5是图解说明根据本发明一个实施例用于间隔件双重图案化过程中的额外实例性图案以及通过所述过程获得的最终布局元件的图示。
图6是图解说明根据本发明一个实施例用于改进间隔件均匀性的实例性过程的操作流程图。
图7是图解说明根据本发明一个实施例用于实现较均匀图案密度的实例性过程的操作流程图。
图8是图解说明根据本发明一个实施例的实例性计算模块的简化框图。
所述各图不打算为穷尽性或将本发明限制于所揭示的精确形式。应理解,可以修改及改动形式实践本发明,且本发明仅由权利要求书及其等效内容限制。
具体实施方式
在各种实施例中,本发明针对半导体装置及用于半导体处理的系统及方法。特定来说,一些实施例涉及用于半导体处理的间隔件双重图案化。在一个实施例中,可使用双重图案化技术形成集成电路装置的逻辑元件。在进一步实施例中,可使用双重图案化技术提供用于增强分辨率光刻操作的自对准技术。在又一实施例中,可利用双重图案化技术来形成不同宽度的特征,而不进行常规间隔件双重图案化技术中所需要的额外掩蔽操作以添加材料来形成宽度增加的元件。
图3是图解说明根据本发明一个实施例的间隔件双重图案化的实例性过程的图示。图4是图解说明根据本发明一个实施例用于间隔件双重图案化过程中的图案的图示。图5是图解说明根据本发明一个实施例用于间隔件双重图案化过程中的额外实例性图案以及通过所述过程获得的最终布局元件的图示。现在参照图3、4及5,现在描述此实施例。在此实例中,所述过程既定形成如图4中在125处所图解说明的布局元件127、126。为得出这些特征,在操作112处印刷牺牲线。此线在图4的130处图解说明为印刷于衬底140上的材料132。在所图解说明的实例中,图案126、127最后将由衬底材料134制成。对于逻辑元件,预镀所述衬底材料134的半导体可能是例如硅、锗、砷化镓等半导体材料。
在操作114处,在所述衬底上方沉积间隔件材料且接着蚀刻所述间隔件材料。在一个实施例中,根据常规间隔件技术来沉积并蚀刻例如氧化硅或氮化硅的间隔件材料。如下文所进一步描述,在一个实施例中,可向所述衬底添加填充图案或装填图案以实现较均匀的间隔件材料分布且因此在蚀刻过程之后实现间隔件宽度的较大均匀性。图4中在135处图解说明在沉积及蚀刻过程之后的间隔件材料136的图解说明。
在操作116处,将间隔件材料136修整为所需尺寸。在此操作处,也可修整牺牲材料132。在所图解说明的实例中,由于所述操作既定得出布局元件126、127,因此将修整图案的大小确定为布局元件126、127的纵向尺寸。此的实例由图4的140处的虚线142图解说明。在所图解说明的实例中,虚线142可界定用来保护所述衬底上的材料的抗蚀剂轮廓。因此,在蚀刻过程期间移除虚线142外侧的间隔件材料。同样,如上文所提及,也可移除虚线142外侧的牺牲材料。然而,如上文所描述,在此实例中,牺牲材料132的此移除在此操作处并非必需的,这是因为可在后续处理操作期间移除所述牺牲材料。
在操作118处,移除牺牲材料132,从而留下间隔件材料136。此的实例图解说明于图5的145处。如可看出,剩余间隔件材料136对应于L形布局元件126、127的垂直元件。在操作120处,施加一层光致抗蚀剂并选择性地移除所述层以形成L形布局元件126、127的剩余组件的图案。此图解说明于图5的150处,其中使用虚线来图解说明用于这些额外组件的光致抗蚀剂轮廓。因此,在操作122处,蚀刻所述衬底以得出布局元件126、127。如155处所图解说明,在蚀刻过程期间移除衬底134的未被间隔件材料136及由外形152界定的光致抗蚀剂保护的部分,从而留下呈所需图案形式的衬底材料134。
如此实例所图解说明,间隔件材料136的沉积及蚀刻产生用来界定布局元件126、127的关键特征的轮廓。同样,如在其它间隔件过程中一样,可使用间隔件材料来界定各个布局元件的组件之间的间隔。因此,需要实现图案化于衬底上的间隔件材料的宽度的均匀性。一种用以实现间隔件宽度的较大均匀性的方式是提供产生牺牲材料132的图案密度的较大均匀性的布局。换句话说,如果用来界定间隔件定位的图案以跨越衬底的较均匀密度形成于所述衬底上,那么后续间隔件沉积及蚀刻过程可产生较均匀结果。
图6是图解说明根据本发明一个实施例用于改进间隔件均匀性的实例性过程的操作流程图。现在参照图6,在操作232处接收布局数据文件。在操作236处,检验所述布局数据文件以确定图案密度。更特定来说,检验包含牺牲材料图案的层以确定给定区域中的图案密度或由所述牺牲材料形成的图案密度的均匀性。在操作238处,在低密度区域中添加装填图案以实现跨越衬底的一部分(即,衬底区域的一些区域或全部区域)的牺牲材料图案的较均匀密度。在一个实施例中,这些装填图案或填充图案仅为不向电路提供电功能的无关图案,因为所述装填图案及其所产生的间隔件图案均不用来形成布局元件。而是,这些装填图案仅既定提供跨越衬底的牺牲材料图案的较均匀分布。
在操作242处,在衬底上方沉积间隔件材料且随后蚀刻所述间隔件材料以提供邻近牺牲材料的间隔件材料图案。用于实现间隔件图案的沉积及蚀刻过程可包含常规间隔件沉积及蚀刻过程。在操作244处,可修整不想要的间隔件材料。特定来说,可沉积一层光致抗蚀剂且随后图案化所述层以保护将用来形成布局元件的间隔件图案。可接着蚀刻所述衬底,从而移除未被光致抗蚀剂保护的间隔件材料。此蚀刻还可移除未被光致抗蚀剂轮廓保护的牺牲材料。在操作246处,移除剩余牺牲图案。根据上述过程,可首先移除在操作244处施加的光致抗蚀剂轮廓以允许蚀刻过程到达不需要的牺牲图案。
如上文所描述,在一个实施例中,可检验牺牲材料的密度并施加填充图案或装填图案以实现跨越所述衬底的较均匀图案密度。图7是图解说明根据本发明一个实施例用于实现较均匀图案密度的实例性过程的操作流程图。现在参照图7,在操作352处,确定用于间隔件沉积及蚀刻的牺牲图案。这些可依据用于对应光刻层的数据集来确定。在操作354处,分析牺牲图案的密度。在一个实施例中,可采用计算方法来以自动化方式分析图案密度。在另一实施例中,可使用所述数据集对图案布局进行建模且可向用户显示此模型以供视觉检查。另外,可使用计算方法来确定高密度及低密度(及之间中的渐变)的区域,且使用彩色编码或其它视觉技术来向用户显示图案密度的视觉表示。
在操作356处,确定图案密度的变化。举例来说,在一个实施例中,可将衬底或衬底的所关注部分分割成若干子集且检验并比较每一子集以确定跨越所述子集的密度变化。举例来说,在一个实施例中,可确定具有最大密度的子集且将每一剩余子集与那个第一子集进行比较以确定其与最大值的密度变化。在另一实施例中,可确定基线或优选密度并将所述子集与那个所需密度进行比较。举例来说,可选择优选密度作为产生最优间隔件宽度结果的那个密度。所有子集可具有均匀大小及面积,或其可变化。举例来说,基于局部化密度来界定子集可为有利的,例如下文所描述的其中使用密度轮廓来界定子集区域的实例。
在其中以计算方式执行确定的实施例中,可基于预界定的区域来自动地界定子集或可基于密度区以启发方式界定子集。举例来说,可确定密度轮廓且最后通过这些密度轮廓来界定子集区。在其中将图案在视觉上显示给用户的实施例中,用户可具备例如鼠标或其它指向装置的输入装置以基于图案密度手动地选择区域或子集。
在操作358处,基于密度差确定装填图案。举例来说,可评价每一子集以确定其密度与最大密度或基线密度之间的差。依据此差或Δ值,可使用计算方法来确定为使所考虑子集的密度符合所需密度级而可将装填图案放置于何处。在其中未将衬底分割成若干子集的实施例中,可评价线间隔且在其中线间隔高于所确定的阈值的区域中添加额外线。举例而言,可评价高密度区域以确定最小线间隔或最小空间区域,或者确定标称线间隔或空间区域(并非绝对最小值)。从这个观点来看,可检验数据集以确定高于此阈值的线间隔的地方高于所述阈值某一量且添加额外线。
在操作360处,可扩充所述数据集以包含这些额外装填图案使得在第一牺牲材料及蚀刻操作之后将这些图案连同实际图案一起留在衬底上。在操作362处,根据过程,可有必要更新经修整掩模的数据集以确定从衬底移除不想要的间隔件材料。在上文参照图3、4及5所描述的实例性过程的情况下,不必要更新经修整掩模数据集,这是因为在那个实例中,在由虚线142界定的轮廓外侧的任何不想要的填充图案无论如何将被蚀刻过程移除。同样,在那个实例中,移除牺牲材料的过程从整个衬底移除牺牲材料。因此,此将包含牺牲材料的额外填充图案。如所属领域的技术人员在阅读此描述之后将明了,在所述填充图案不会负面地影响电路性能的程度上还可将其保持在原处。
在一个实施例中,可实施本发明使得在一个曝光中界定布局元件的所有关键特征。另外,在一个实施例中,可在第一曝光时界定关键边缘,借此允许发生自对准。因此,在这些实施例中,第二曝光可用于修整层级,且形成元件的更大宽度的部分不需要额外曝光。
在另一实施例中,可改变修整层级序列。考虑上文参照图3、4及5所描述的实例性过程的情况。在此实例中,可在线端的修整之前发生界定较大特征的修整层级。另外,可在间隔件蚀刻之前完成大图案的修整的层级。
在上文所描述的实施例中,间隔件材料用来界定布局元件的尺寸及放置。然而,常规间隔件过程可因图案密度的变化而展示出比所需的大的间隔件宽度的变化。然而,在一个实施例中,间隔件材料可用来界定元件之间的空间而非界定特征本身。因此,在此类实施方案中间隔件尺寸的变化可能不那么关键。
另外,如上文所详细描述,为减小间隔件尺寸确定的变化,可在布局的低密度区域中添加额外填充或虚拟图案以使得图案的装填较均匀。举例来说,可向衬底的其中存在极少或不存在实际装置图案的区域添加不具有电功能的图案以得出跨越所述衬底或跨越所述衬底的所需区域的较均匀图案密度。在替代实施例中,可添加反馈回路以通过重新定位组件而更新布局以增加图案密度的均匀性。增加图案密度的均匀性可有助于改进间隔件沉积的均匀性且因此导致跨越各种元件的较均匀间隔件宽度。在一个实施例中,可在沉积初始图案期间沉积这些额外填充图案且使用修整掩模将其移除。因此,可实施此过程以便不需额外掩模层。
在较小尺寸下(例如45nm及以下),常规智慧指示逻辑应用的多级布局应为格栅式布局或光刻友好布局以改进图案的可印刷性。此格栅式图案还帮助将间隔件放置于较均匀环境中且因此实现较佳的间隔件均匀性。在单元的边缘处,可放置额外图案以进一步改进均匀性。
在一个实施例中,可通过考虑到沉积过程以及蚀刻过程来将间隔件的宽度建模。特定来说,在一个实施例中,可将对接近度的依赖性准度地建模以帮助放置额外图案以防止或减小关键尺寸变化。举例来说,可使用模型对间隔件的宽度进行仿真。可通过将校准测试标度线与各种间隔件环境或密度一起使用来获得所述模型。通过使用此测试掩模将测试晶片曝光(并一直对其进行处理以在晶片上界定间隔件),可针对各种环境测量间隔件宽度且可基于此实验数据形成模型。
为评估给定位置(x0,y0)处的间隔件宽度,可将位置(x0,y0)周围的图案与表示沉积及蚀刻效应的量值及范围的核心函数的和求卷积。举例来说,
CD ( x 0 , y 0 ) = Σ n = 1 N ∫ ∫ λ n K n ( x - x 0 , y - y 0 ) M ( x , y ) dxdy
其中Kn是核心,λn是系数,且M是表示掩模的函数(1=透明,0=不透明)。将通过拟合实验数据来确定Kn及λn。举例来说,可使用不同∑的高斯函数作为核心函数。可直接使用位置(x0,y0)处的卷积的结果来预测如给定方程式中所描述的间隔件的宽度。可使用其它类型的模型来描述蚀刻效应,包含(例如)美国专利申请案第US2007/0143733 A1号中所描述的那些模型。
所产生的模型可用于各种应用,包含修改设计(表示功能电路元件的原始多边形)以获得间隔件的均匀尺寸;及改变设计以获得设计中的给定位置处的所需尺寸。注意,此在任何地方可未必均相同,且所述模型可用于获得给定位置处的所需宽度。在进一步实施例中,应用还可包含填充图案的基于模型的放置以实现间隔件的均匀尺寸;及虚拟图案的基于模型的放置以实现某一位置处的某一尺寸。在另一实施例中,可组合所述应用。
在另一实施例中,可使用所述模型来形成预测尺寸的规则集。举例来说,规则可用于设计规则校验器类型的工具中以修改设计或添加虚拟测试图案。在又一实施例中,可使用所述模型形成用于限定设计(例如)以避免间隔件宽度变化的规则集。可将此类规则集成到设计者所使用的标准设计规则集中。举例来说,规则可告知设计者为能够放置填充图案而需要的特征之间的间隔的范围。此类型的规则可类似于用于辅助特征放置的规则。辅助特征可用来改进设计的可印刷性,但其实际上并不印刷于晶片上,这是因为其太小(有时称作次分辨率辅助特征)。
在又一实施例中,可使用所述模型形成间隔件的最终形状的轮廓。此轮廓可用于(例如)评估芯片的最后电特性。举例来说,所述轮廓可用于较准确地预测晶体管或其它装置尺寸。在一个实施例中,其可用于较准确地更新晶体管或其它装置的宽度及长度,或者预测准确的互连件尺寸。在另一实施例中,其可用于较准确地更新互连件的电阻及电容。
此基于实际轮廓的所更新信息可由设计者用来较佳地评估关键芯片信息,例如定时及功率消耗。例如,参见美国专利第7,216,320号,其揭示实际尺寸对所绘制尺寸的使用。注意,可组合间隔件轮廓预测与其它光刻步骤(用来界定修整及大特征)以形成最终晶片图像的经仿真轮廓。
在一个实施例中,间隔件过程不需要光学接近校正(OPC)来校正间隔件的大小,这是因为间隔件印刷是由薄膜沉积及蚀刻操作而非光刻决定。因此,间隔件图案的尺寸不受光刻处理的影响。由于特征放置可受光刻处理的影响,因此可针对第一层(初始图案)使用OPC以帮助确保正确地放置线。对于每一间隔件,可通过光刻过程设定一个边缘的位置,同时可通过沉积/蚀刻过程确定另一边缘的位置。由于沉积/蚀刻过程来得较晚,因此所述沉积/蚀刻过程还可界定间隔件的宽度。
可使用例如氧化硅或氮化硅的薄硬掩模在例如基于碳的材料的厚层顶部上完成初始特征的印刷。可调整所述层的厚度以实现所需间隔件厚度及宽度。对于后面的处理操作,用于填充图案的材料可以是布局元件所需的最终材料,或者其可以是将用来蚀刻厚下伏层(基于碳的材料)的硬掩模。最后,可使用此堆叠作为掩模以蚀刻下伏材料。
术语衬底可用来指代将材料层沉积到其上的任一材料。衬底可由若干种材料或若干材料的组合中的任一者构成,所述材料包含金属、陶瓷、塑料、玻璃及其它材料。衬底可包含半导体衬底,例如GaAs、Si、SiGe或任一其它半导体材料,且可包含(例如)晶片及裸片或任何其它半导体结构,包含制作过程中的其上形成有一个或一个以上层的结构。
术语工具可用来指代经配置以执行所述功能的任何设备。举例来说,工具可包含一个或一个以上模块的集合且还可由硬件、软件或其组合构成。因此,举例来说,工具可以是一个或一个以上软件模块、硬件模块、软件/硬件模块或其任一组合或排列的集合。作为另一实例,工具可以是计算装置或者软件在其上运行或在其中实施硬件的其它用具。
如本文中所使用,术语模块可描述可根据本发明的一个或一个以上实施例执行的给定功能性单元。如本文中所使用,可利用任一形式的硬件、软件或其组合来实施模块。举例来说,可实施一个或一个以上处理器、控制器、ASIC、PLA、逻辑组件、软件例程或其它机构来构成一模块。在实施方案中,可将本文中所描述的各种模块实施为离散模块或可在一个或一个以上模块中部分地或全部地共享所描述功能及特征。换句话说,所属领域的技术人员在阅读此描述之后将明了,本文中所描述的各种特征及功能性可在任一给定应用中实施且可在成各种组合及排列的一个或一个以上单独或共享的模块中实施。虽然可将各种功能性特征或元件作为若干单独模块个别地描述或主张,但所属领域的技术人员应理解,这些特征及功能性可在一个或一个以上共用软件及硬件元件之间共享,且此描述不应需要或意味着使用单独硬件或软件组件来实施此类特征或功能性。
在一个实施例中,当使用软件来全部地或部分地实施结合本文中所描述的操作使用的过程组件或模块时,这些软件元件可经实施以与能够执行针对这些软件元件所描述的功能性的计算或处理模块一起操作。图8中显示一个此种实例性计算模块。根据此实例性计算模块400描述各种实施例。在阅读此描述之后,所属领域的技术人员将明了如何使用其它计算模块或架构来实施本发明。
现在参照图8,计算模块400可表示(例如)以下装置内存在的计算或处理能力:桌上型、膝上型及笔记本式计算机;大型计算机、超级计算机、工作站或服务器;或者给定应用或环境可需要或适用于所述给定应用或环境的任一其它类型的专用或通用计算装置。计算模块400还可表示嵌入于给定装置内或以其它方式可为给定装置所用的计算能力。举例来说,计算模块可存在于其它电子装置中。计算模块400可包含(例如)一个或一个以上处理器或处理装置,例如处理器404。可使用通用或专用处理引擎(例如微处理器、控制器或其它控制逻辑)来实施处理器404。在图12中所图解说明的实例中,处理器404连接到总线403或其它通信媒体以促进与计算模块400的其它组件的相互作用。
计算模块400还可包含称作主存储器408的一个或一个以上存储器模块。举例来说,优选地可使用随机存取存储器(RAM)或其它动态存储器来存储信息及将要由处理器404执行的指令。主存储器408也可用于存储在执行将要由处理器404执行的指令期间的临时变量或其它中间信息。计算模块400同样可包含耦合到总线403用于存储处理器404的静态信息及指令的只读存储器(“ROM”)或其它静态存储装置。
计算模块400还可包含一个或一个以上各种形式的信息存储机构410,其可包含(例如)媒体驱动器412及存储单元接口420。媒体驱动器412可包含驱动器或支持固定或可装卸存储媒体414的其它机构。举例来说,硬盘驱动器、软盘驱动器、磁带驱动器、光盘驱动器、CD或DVD驱动器(R或RW)或者其它可装卸或固定媒体驱动器。因此,存储媒体414可包含(例如)硬盘、软盘、磁带、盒式磁盘、光盘、CD或DVD或者由媒体驱动器412读取、写入或存取的其它固定或可装卸媒体。如这些实例所图解说明,存储媒体414可包含其中存储有特定计算机软件或数据的计算机可用存储媒体。
在替代实施例中,信息存储机构410可包含用于允许将计算机程序或者其它指令或数据加载到计算模块400中的其它类似器具。此类器具可包含(例如)固定或可装卸存储单元422及接口420。此类存储单元422及接口420的实例可包含程序盒式磁盘及盒式磁盘接口、可装卸存储器(例如,快闪存储器或其它可装卸存储器模块)以及存储器槽、PCMCIA槽及卡以及其它固定或可装卸存储单元422及允许将软件及数据从存储单元422传送到计算模块400的接口420。
计算模块400还可包含通信接口424。通信接口424可用来允许在计算模块400与外部装置之间传送软件及数据。通信接口424的实例可包含调制解调器或软调制解调器、网络接口(例如以太网、网络接口卡、WiMedia、802.XX或其它接口)、通信端口(例如,USB端口、IR端口、RS232端口蓝牙接口或其它端口)或者其它通信接口。经由通信接口424传送的软件及数据通常可携载于信号上,所述信号可以是电子、电磁、光学信号或能够由给定通信接口424交换的其它信号。这些信号可经由信道428提供到通信接口424。此信道428可携载信号且可使用有线或无线媒体来实施。信道的一些实例可包含电话线、蜂窝式链路、RF链路、光学链路、网络接口、局域网或广域网及其它有线或无线通信信道。
在本文件中,术语“计算机程序媒体”及“计算机可用媒体”用来一般指代例如存储器408、存储单元420、媒体414及信道428上的信号等媒体。这些及其它各种形式的计算机程序媒体或计算机可用媒体可与将一个或一个以上指令的一个或一个以上序列携载到处理装置以供执行有关。一般将体现于媒体上的此类指令称为“计算机程序代码”或“计算机程序产品”(可将其分组成计算机程序或其它群组形式)。当被执行时,此类指令可使计算模块400能够执行如本文中所论述的本发明的特征或功能。
尽管上文已描述本发明的各种实施例,但应理解,所述实施例仅以实例方式而非限制方式呈现。同样,各种图示可描绘用于本发明的实例性架构或其它配置,此可帮助理解可包含于本发明中的特征及功能性。本发明并不限于所图解说明的实例性架构或配置,但可使用各种替代架构及配置来实施所需特征。实际上,所属领域的技术人员将明了可如何实施替代功能、逻辑或物理划分及配置以实施本发明的所需特征。此外,可将除本文中所描绘的那些模块以外的多个不同构成模块名称应用于各种分区。另外,关于流程图、操作描述及方法权利要求,除非另有上下文指示,否则本文中所呈现操作的次序不应要求按相同次序来实施各实施例以执行所述功能性。
虽然上文根据各种实例性实施例及实施方案描述本发明,但应理解,个别实施例中的一者或一者以上中所描述的各种特征、方面及功能性并不限于其对其中描述所述各种特征、方面及功能性的特定实施例之适用性,而是可单独或以各种组合形式应用于本发明其它实施例中的一者或一者以上,不论是否已描述此类实施例且不论是否已将此类特征呈现为已描述实施例的一部分。因此,本发明的广度及范围不应由上述实例性实施例中的任一者限制。
除非另外明确说明,否则本文件中所使用的术语及短语以及其变化形式均应解释为开放型而非限制型。作为前述内容的实例:术语“包含(including)”应理解为意指“包含,但不限于”等;术语“实例(example)”用来提供所论述项目的实例性实例,并非其穷尽性或限制性列表;术语“一(a)”或“一(an)”应理解为意指“至少一个”、“一个或一个以上”等;且形容词(例如)“常规(conventional)”、“传统(traditional)”、“正常(normal)”、“标准(standard)”、“已知(known)”及类似意思的术语不应解释为将所描述项目限制于给定时间周期或限制于可用于给定时间的项目,而是应理解为涵盖现在或将来任一时间可利用或已知的常规、传统、正常或标准技术。同样,当本文件涉及所属领域的技术人员将明了或已知的技术时,此类技术涵盖所属领域的技术人员现在或将来任一时间明了或已知的那些技术。
除非另外明确说明,否则用连接词“及(and)”连接的一群组项目不应理解为要求那些项目中的每一者及每一个均存在于群组中,而是应理解为“及/或(and/or)”。类似地,除非另外明确说明,否则用连接词“或(or)”连接的一群组项目不应理解为要求在所述群组中互相排他,而是也应理解为“及/或(and/or)”。此外,虽然可以单数形式来描述或主张本发明的项目、元件或组件,但除非清楚说明限于单数外,本发明的范围内还可涵盖复数形式。
宽泛词及短语(例如“一个或一个以上(one or more)”、“至少(at least)”、“但不限于(but not limited to)”)或一些实例中的其它类似短语的存在不应理解为意指,在其中此类宽泛短语可能不存在的实例中既定或要求较窄情况。术语“模块(module)”的使用并不意味着描述或主张为所述模块的一部分的组件或功能性均配置共用封装中。实际上,一模块的任何或所有各种组件(不论控制逻辑或其它组件)均可组合于单个封装中或单独维持且可进一步分布于多个群组或封装中或跨越多个位置分布。
另外,根据实例性框图、流程图及其它图解说明来描述本文中所阐述的各种实施例。如所属领域的技术人员在阅读本文件之后将明了,可实施所图解说明的实施例及其各种替代方案而不限于所图解说明的实例。举例来说,框图及其随附描述不应解释为要求特定架构或配置。

Claims (25)

1.一种确定用于半导体装置的层的数据集的方法,其包括:
接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;
确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及
扩充所述数据集以包含所述层的具有比阈值密度低的密度的区域中的牺牲材料的额外图案。
2.根据权利要求1所述的方法,其中基于预界定的间隔件宽度来确定所述阈值密度。
3.根据权利要求1所述的方法,其中基于所述层的具有原始图案的最高密度的区域的密度来确定所述阈值密度。
4.根据权利要求1所述的方法,其进一步包括确定跨越所述层的所述部分的原始图案的所述密度的变化,并基于较高密度的区域与具有比所确定的阈值密度低的密度的所述区域之间的密度差来确定将要添加到较低密度的区域的所述额外图案。
5.根据权利要求1所述的方法,其进一步包括基于跨越所述区域的原始图案的所述所确定的密度来计算装填图案密度。
6.根据权利要求1所述的方法,其中扩充所述数据集增加跨越衬底的区域的图案密度的均匀性。
7.根据权利要求1所述的方法,其中牺牲材料的所述额外图案包括不向电路提供电功能的无关图案。
8.根据权利要求1所述的方法,其中通过体现于计算机可用媒体上的计算机程序产品来执行所述方法。
9.一种具有多个层的半导体装置,其中层包括通过间隔件双重图案化过程形成的一连串元件且其中通过以下方法扩充用于间隔件双重图案化的数据集,所述方法包括:
接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;
确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及
扩充所述数据集以包含所述层的具有比阈值密度低的密度的区域中的牺牲材料的额外图案。
10.根据权利要求9所述的半导体装置,其中所述阈值密度是基于预界定的间隔件宽度确定的。
11.根据权利要求9所述的半导体装置,其中所述阈值密度是基于所述层的具有原始图案的最高密度的区域的密度确定的。
12.根据权利要求9所述的半导体装置,其中所述方法进一步包括确定跨越所述层的所述部分的原始图案的所述密度的变化,并基于较高密度的区域与具有比所确定的阈值密度低的密度的所述区域之间的密度差来确定将要添加到较低密度的区域的所述额外图案。
13.根据权利要求9所述的半导体装置,其中所述方法进一步包括基于跨越所述区域的原始图案的所述所确定的密度来计算装填图案密度。
14.根据权利要求9所述的半导体装置,其中扩充所述数据集增加跨越衬底的区域的图案密度的均匀性。
15.根据权利要求9所述的半导体装置,其中牺牲材料的所述额外图案包括不向电路提供电功能的无关图案。
16.一种确定用于半导体装置的层的数据集的设备,其包括:
用于接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集的装置,其中牺牲材料的所述原始图案用于界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;
用于确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度的装置;及
用于扩充所述数据集以包含所述层的具有比阈值密度低的密度的区域中的牺牲材料的额外图案的装置。
17.根据权利要求16所述的设备,其中所述阈值密度是基于预界定的间隔件宽度确定的。
18.根据权利要求16所述的设备,其中所述阈值密度是基于所述层的具有原始图案的最高密度的区域的密度确定的。
19.根据权利要求16所述的设备,其进一步包括用于确定跨越所述层的所述部分的原始图案的所述密度的变化的装置,及用于基于较高密度的区域与具有比所确定的阈值密度低的密度的所述区域之间的密度差来确定将要添加到较低密度的区域的所述额外图案的装置。
20.根据权利要求16所述的设备,其进一步包括用于基于跨越所述区域的原始图案的所述所确定的密度来计算装填图案密度的装置。
21.根据权利要求16所述的设备,其中扩充所述数据集增加跨越衬底的区域的图案密度的均匀性。
22.根据权利要求16所述的设备,其中牺牲材料的所述额外图案包括不向电路提供电功能的无关图案。
23.一种确定用于半导体装置的层的数据集的方法,其包括:
接收界定半导体装置的层中的牺牲材料的多个原始图案的数据集,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;
确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及
更改所述层的区域中的所述密度以实现所需间隔件材料宽度。
24.根据权利要求23所述的方法,其中更改所述密度包括在所述层的所述区域中添加牺牲材料的额外图案。
25.一种确定用于半导体装置的层的数据集的方法,其包括:
接收用于集成电路设计的数据集,所述数据集界定半导体装置的层中的牺牲材料的多个原始图案,其中使用牺牲材料的所述原始图案来界定间隔件材料的放置以界定所述半导体装置的电路元件的图案化;
确定跨越所述半导体装置的所述层的一部分的区域中的牺牲材料的所述多个原始图案的密度;及
更改所述集成电路设计以调整所述层的区域中的原始图案的所述密度以实现所述区域的所需间隔件材料宽度。
CN2009801022325A 2008-01-16 2009-01-08 半导体图案化操作的经改进均匀性 Expired - Fee Related CN101918948B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/014,958 2008-01-16
US12/014,958 US7926001B2 (en) 2008-01-16 2008-01-16 Uniformity for semiconductor patterning operations
PCT/US2009/030479 WO2009091664A1 (en) 2008-01-16 2009-01-08 Improved uniformity for semiconductor patterning operations

Publications (2)

Publication Number Publication Date
CN101918948A CN101918948A (zh) 2010-12-15
CN101918948B true CN101918948B (zh) 2013-07-24

Family

ID=40885617

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801022325A Expired - Fee Related CN101918948B (zh) 2008-01-16 2009-01-08 半导体图案化操作的经改进均匀性

Country Status (5)

Country Link
US (1) US7926001B2 (zh)
JP (1) JP2011514654A (zh)
CN (1) CN101918948B (zh)
TW (1) TW200943113A (zh)
WO (1) WO2009091664A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) * 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8327301B2 (en) * 2009-02-03 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Routing method for double patterning design
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8739095B2 (en) * 2010-03-08 2014-05-27 Cadence Design Systems, Inc. Method, system, and program product for interactive checking for double pattern lithography violations
KR101828492B1 (ko) * 2010-10-13 2018-03-29 삼성전자 주식회사 패턴 형성 방법, 레티클, 및 패턴 형성 프로그램이 기록된 기록 매체
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8799834B1 (en) * 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US8966412B1 (en) * 2013-09-24 2015-02-24 Globalfoundries Inc. Methods of generating circuit layouts that are to be manufactured using SADP techniques
JP2017067442A (ja) 2013-12-27 2017-04-06 株式会社日立ハイテクノロジーズ パターン測定装置、及びパターン測定のためのコンピュータープログラム
KR102185281B1 (ko) * 2014-01-09 2020-12-01 삼성전자 주식회사 자기 정렬 더블 패터닝 공정을 이용하여 반도체 소자의 패턴을 형성하는 방법
KR102343859B1 (ko) * 2015-01-29 2021-12-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
TWI638385B (zh) * 2015-03-31 2018-10-11 聯華電子股份有限公司 半導體裝置的圖案化結構及其製作方法
KR20220085622A (ko) 2020-12-15 2022-06-22 삼성전자주식회사 반도체 메모리 소자

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050076320A1 (en) * 2003-10-02 2005-04-07 Kawasaki Microelectronics, Inc. Layout structure of semiconductor integrated circuit and method for forming the same
CN1670914A (zh) * 2003-10-03 2005-09-21 台湾积体电路制造股份有限公司 改善晶圆图案化结构临界尺寸均匀性方法及用于微影系统
CN1794418A (zh) * 2004-12-23 2006-06-28 海力士半导体有限公司 制造半导体器件的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002148779A (ja) 2000-11-07 2002-05-22 Toshiba Corp マスクパターン補正方法、フォトマスク及びマスクパターン補正方法プログラムを格納したコンピュータ読み取り可能な記録媒体
JP3479052B2 (ja) * 2001-04-23 2003-12-15 沖電気工業株式会社 半導体装置のダミー配置判定方法
JP3565268B2 (ja) * 2001-06-22 2004-09-15 株式会社東芝 磁気抵抗効果素子、磁気ヘッド及び磁気再生装置
JP4139586B2 (ja) * 2001-11-27 2008-08-27 松下電器産業株式会社 半導体装置およびその製造方法
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6723640B2 (en) 2002-06-29 2004-04-20 Hynix Semiconductor Inc. Method for forming contact plug of semiconductor device
JP2006523949A (ja) 2003-03-13 2006-10-19 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド 非長方形状のダイを有する半導体ウェハ
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7600212B2 (en) 2005-10-03 2009-10-06 Cadence Design Systems, Inc. Method of compensating photomask data for the effects of etch and lithography processes
US7316872B2 (en) * 2005-10-17 2008-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Etching bias reduction
US7741221B2 (en) * 2005-12-14 2010-06-22 Freescale Semiconductor, Inc. Method of forming a semiconductor device having dummy features
JP4171032B2 (ja) * 2006-06-16 2008-10-22 株式会社東芝 半導体装置及びその製造方法
US7669176B2 (en) * 2007-09-14 2010-02-23 Infineon Technologies Ag System and method for semiconductor device fabrication using modeling
US8440569B2 (en) * 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
JP5193582B2 (ja) * 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050076320A1 (en) * 2003-10-02 2005-04-07 Kawasaki Microelectronics, Inc. Layout structure of semiconductor integrated circuit and method for forming the same
CN1670914A (zh) * 2003-10-03 2005-09-21 台湾积体电路制造股份有限公司 改善晶圆图案化结构临界尺寸均匀性方法及用于微影系统
CN1794418A (zh) * 2004-12-23 2006-06-28 海力士半导体有限公司 制造半导体器件的方法

Also Published As

Publication number Publication date
JP2011514654A (ja) 2011-05-06
WO2009091664A1 (en) 2009-07-23
US20100299646A1 (en) 2010-11-25
CN101918948A (zh) 2010-12-15
US7926001B2 (en) 2011-04-12
TW200943113A (en) 2009-10-16

Similar Documents

Publication Publication Date Title
CN101918948B (zh) 半导体图案化操作的经改进均匀性
CN101910940B (zh) 用于光刻操作的间隔件双重图案化
JP6325568B2 (ja) ダブルパターンリソグラフィのための金属密度分布
US7913197B1 (en) Method for double patterning lithography
US6745372B2 (en) Method and apparatus for facilitating process-compliant layout optimization
US8612899B2 (en) Fast lithography compliance check for place and route optimization
US8732625B2 (en) Methods for performing model-based lithography guided layout design
US20060110837A1 (en) Method and system for topography-aware reticle enhancement
US9754068B2 (en) Method, computer readable storage medium and computer system for creating a layout of a photomask
US9779186B2 (en) Methods for performing model-based lithography guided layout design
US8533637B2 (en) Retargeting based on process window simulation
US8572525B2 (en) Partition response surface modeling
US8910098B1 (en) Neighbor-aware edge fragment adjustment for optical proximity correction
US8302068B2 (en) Leakage aware design post-processing
US8683394B2 (en) Pattern matching optical proximity correction
US11928416B2 (en) Semiconductor process technology assessment
US9811615B2 (en) Simultaneous retargeting of layout features based on process window simulation
Agarwal et al. Integrated model-based retargeting and optical proximity correction
WO2022075989A1 (en) Optical proximity correction for free form shapes
US8563197B2 (en) Methods, apparatus and computer program products for fabricating masks and semiconductor devices using model-based optical proximity effect correction and lithography-friendly layout
Balasinski Design for manufacturability
Inoue et al. Total hot spot management from design rule definition to silicon fabrication
Lucas et al. Model-based design improvements for the 100-nm lithography generation
Kotani et al. Lithography simulation system for total CD control from design to manufacturing
Yesilada et al. RET and DFM techniques for sub 30nm

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130724

Termination date: 20190108

CF01 Termination of patent right due to non-payment of annual fee