CN101916771B - 用于制造具有高k栅极电介质层和金属栅电极的半导体器件的方法 - Google Patents

用于制造具有高k栅极电介质层和金属栅电极的半导体器件的方法 Download PDF

Info

Publication number
CN101916771B
CN101916771B CN201010236555.8A CN201010236555A CN101916771B CN 101916771 B CN101916771 B CN 101916771B CN 201010236555 A CN201010236555 A CN 201010236555A CN 101916771 B CN101916771 B CN 101916771B
Authority
CN
China
Prior art keywords
dielectric layer
metal level
metal
gate dielectric
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010236555.8A
Other languages
English (en)
Other versions
CN101916771A (zh
Inventor
贾斯廷·布拉斯克
杰克·卡瓦莱厄斯
马克·多克茨
尤黛·沙赫
克里斯·巴恩斯
马修·梅茨
休曼·达塔
安娜丽莎·卡佩尔拉尼
罗伯特·赵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101916771A publication Critical patent/CN101916771A/zh
Application granted granted Critical
Publication of CN101916771B publication Critical patent/CN101916771B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

描述了一种用于制造半导体器件的方法。该方法包括在衬底上形成第一电介质层,然后在所述第一电介质层内形成沟槽。在衬底上形成第二电介质层之后,在所述第二电介质层的第一部分上的沟槽内形成第一金属层。然后在所述第一金属层上和在所述第二电介质层的第二部分上形成第二金属层。

Description

用于制造具有高K栅极电介质层和金属栅电极的半导体器件的方法
本发明专利申请是国际申请号为PCT/US2005/010920,国际申请日为2005年3月31日,进入中国国家阶段的申请号为200580012568.4,名称为“用于制造具有高K栅极电介质层和金属栅电极的半导体器件的方法”的发明专利申请的分案申请。
发明领域
本发明涉及用于制造半导体器件,尤其是包括金属栅电极的半导体器件的方法。
发明背景
具有由二氧化硅制成的非常薄的栅极电介质的MOS场效应晶体管可能经受不可接受的栅极泄漏电流。由某些高k电介质材料代替二氧化硅来形成栅极电介质可以降低栅极泄漏。但是,因为这种电介质可能不与多晶硅兼容,所以人们可能期望在包括高k栅极电介质的器件中使用金属栅电极。
当制造包括金属栅电极的CMOS器件时,替换栅工艺(replacement gate process)可以被用来用不同金属形成栅电极。在该工艺中,被一对间隔物框住(bracketed)的第一多晶硅层被去除,以在所述间隔物之间创建沟槽。用第一金属填充所述沟槽。然后,第二多晶硅层被去除,并且被替换为与第一金属不同的第二金属。因为这种工艺需要多个蚀刻、沉积和抛光步骤,所以半导体器件的高产量生产商可能不愿意使用它。
与其应用替换栅工艺来在高k栅极电介质层上形成金属栅电极,不如使用减去法。在这种工艺中,通过在电介质层上沉积金属层、掩蔽所述金属层、然后去除金属层未被覆盖的部分和下面的电介质层的部分,来在高k栅极电介质层上形成金属栅电极。遗憾的是,生成的高k栅极电介质层暴露的侧壁致使该层对侧面氧化敏感,所述侧面氧化可能对该层的物理和电气性质有不利的影响。
因此,需要用于制造包括高k栅极电介质层和金属栅电极的半导体器件的改进的工艺。需要这样的可以适用于高产量生产的工艺。本发明的方法提供了这样的工艺。
附图简要说明
图1a-1f表示当执行本发明的方法的实施方式时可以形成的结构的横截面。
图2a-2f表示当执行图1a-1f的实施方式以产生在沟槽内包括P/N结的器件时可以形成的结构的横截面。
图3a-3b表示当执行本发明的方法的第二实施方式时可以形成的结构的横截面。
图4a-4b表示当执行图3a-3b的实施方式以产生在沟槽内包括P/N结的器件时可以形成的结构的横截面。
在这些附图中示出的特征没有打算按比例绘制。
本发明详细描述
描述了一种用于制造半导体器件的方法。该方法包括在衬底(substrate)上形成第一电介质层,然后在第一电介质层内形成沟槽。在衬底上形成第二电介质层之后,在第二电介质层的第一部分上而不在第二电介质层的第二部分上形成第一金属层。然后在第一金属层上和在第二电介质层的第二部分上形成第二金属层。
在下面的描述中,阐述了许多细节,以提供对本发明的完整理解。然而,本领域中的技术人员将会清楚,可以以除在此清晰地描述的方式以外的许多方式实践本发明。因此本发明不被下面公开的具体细节所限制。
图1a-1f图示当执行本发明的方法的实施方式时,可以形成的结构。图1a表示当制造CMOS器件时可以形成的中间结构。该结构包括衬底100的第一部分101和第二部分102。隔离区103将第一部分101和第二部分102分离开。第一多晶硅层104被形成在电介质层105上,并且第二多晶硅层106被形成在电介质层107上。第一多晶硅层104被一对侧壁间隔物108、109框住,并且第二多晶硅层106被一对侧壁间隔物110、111框住。电介质112位于靠近侧壁间隔物的位置。
衬底100可以包括体硅(bulk silicon)或绝缘体上硅(silicon-on-insulator)结构。可替换地,衬底100可以包括其他的材料——所述材料可以与或可以不与硅结合——例如,锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓,或锑化镓。虽然在此描述了可以用其形成衬底100的材料的一些实施例,但是可以充当在其上可以建造半导体器件的基础的任何材料都落入本发明的精神和范围。
隔离区103可以包括二氧化硅,或者可以分离晶体管的有源区(active region)的其他材料。电介质层105、107均可以包括二氧化硅,或者可以将衬底和其他物质绝缘的其他材料。第一和第二多晶硅层104、106的厚度均优选地为在约100到约2000埃之间,并且更优选地为在约500到约1600埃之间。这些层均可以是未掺杂的或者用类似的物质掺杂的。可替换地,一个层可以被掺杂,而另一个没有被掺杂,或者一个层可以(例如,用砷、磷或另一n型材料)被掺杂为n型,而另一个(例如,用硼或另一p型材料)被掺杂为p型。间隔物108、109、110、111优选地包括氮化硅,而电介质112可以包括二氧化硅或低k材料。电介质112可以用磷、硼或其他元素来掺杂,并且可以使用高密度等离子体沉积工艺来形成。
如本领域技术人员将会清楚的,常规工艺步骤、材料和设备可以被用来产生图1a结构。如示出的,例如可以通过常规的化学机械抛光(“CMP”)操作来对电介质112进行回抛光(polish back),以使第一和第二多晶硅层104、106暴露。虽然未示出,但是图1a结构可以包括许多可以使用常规工艺形成的其他特征(例如,氮化硅蚀刻终止层、源极和漏极区,以及一个或更多个缓冲层)。
当使用常规离子注入和退火工艺来形成源极和漏极区时,人们可能期望在多晶硅层104、106上形成硬掩模(mask)——并且在硬掩模上形成蚀刻终止层——以在用硅化物覆盖源极和漏极区的时候保护层104、106。硬掩模可以包括氮化硅,并且蚀刻终止层可以包括这样的材料,即,当应用合适的蚀刻工艺时所述材料将以比氮化硅被去除的速率显著低的速率被去除。这种蚀刻终止层可以,例如,由硅、氧化物(例如,二氧化硅或二氧化铪)或碳化物(例如,碳化硅)制成。
当电介质层112被抛光时,这样的蚀刻终止层和氮化硅硬掩模可以从层104、106的表面被抛光——因为到工艺中的该阶段时这些层将已经完成它们的用途。图1a表示这样的结构,即,在所述结构中,可以先前已经在层104、106上形成的任何硬掩模或蚀刻终止层已经从这些层的表面被去除。当离子注入工艺被用来形成源极和漏极区时,层104、106可以在源极和漏极区被注入的同时被掺杂。在这种工艺中,第一多晶硅层104可以被掺杂为n型,而第二多晶硅层106被掺杂为p型-或者反之亦然。
在形成图1a结构之后,第一和第二多晶硅层104、106被去除。在优选的实施方案中,通过应用湿法蚀刻工艺或多种工艺来去除这些层。这种湿法蚀刻工艺可以包括在足够的温度下将层104、106暴露给包括氢氧化物源的水溶液足够的时间,以基本上去除所有这些层。该氢氧化物源可以包括去离子水中按体积计算约2%到约30%之间的氢氧化铵或氢氧化四烷基铵(例如,氢氧化四甲铵(“TMAH”))。
可以通过将n型多晶硅层暴露给溶液来将它去除,所述暴露步骤被维持在约15℃到约90℃之间(并且优选地为在约40℃以下)的温度下,所述溶液包括去离子水中按体积计算约2%到约30%之间的氢氧化铵。在优选地持续至少一分钟的该暴露步骤期间,人们可能期望施加频率在约10KHz到约2000KHz之间的声波能,同时所述声波能以每平方厘米约1到约10瓦特之间消耗。例如,厚度为约1350埃的n型多晶硅层可以通过在施加约1000KHz的声波能(以每平方厘米5瓦特消耗)的同时,在25℃将它暴露给包括去离子水中按体积计算约15%的氢氧化铵的溶液约30分钟来去除。
作为可替换的方法,n型多晶硅层可以通过在施加声波能的同时,将它暴露给溶液至少一分钟来去除,所述暴露步骤被维持在约60℃到约90℃之间的温度下,所述溶液包括去离子水中按体积计算约20%到约30%之间的TMAH。基本上所有厚度为约1350埃的这样的n型多晶硅层可以通过在施加约1000KHz的声波能(以每平方厘米5瓦特消耗)的同时,在约80℃将它暴露给溶液约2分钟来去除,所述溶液包括去离子水中按体积计算约25%的TMAH。
p型多晶硅层也可以通过在施加声波能的同时,在足够的温度下(例如,在约60℃到约90℃之间)将它暴露给溶液足够的时间来去除,所述溶液包括去离子水中按体积计算约20%到约30%的TAMH。本领域技术人员将发现,应该被用来去除第一和第二多晶硅层104、106的特定的湿法蚀刻工艺或多种工艺将取决于在这些层中没有一个、有一个还是两个被掺杂(例如,一个层被掺杂为n型,并且另一个被掺杂为p型)而变化。
例如,如果层104被掺杂为n型,并且层106被掺杂为p型,则人们可能期望首先应用基于氢氧化铵的湿法刻蚀工艺来去除n型层,然后应用基于TMAH的湿法蚀刻工艺来去除p型层。可替换地,人们可能期望使用合适的基于TMAH的湿法蚀刻工艺来同时去除层104、106。
在去除第一和第二多晶硅层104、106之后,电介质层105、107被暴露。在这个实施方案中,层105、107被去除。当电介质层105、107包括二氧化硅时,可以使用对于二氧化硅来说为选择性的蚀刻工艺来将它们去除。这种蚀刻工艺可以包括将层105、107暴露给包括去离子水中约1%的HF的溶液。暴露层105、107的时间应该被限制,因为用于去除这些层的蚀刻工艺还可以去除电介质层112的部分。记住这一点,如果基于1%的HF的溶液被用来去除层105、107,则器件优选地应该被暴露给该溶液少于约60秒,并且更优选地为约30秒或更少。如在图1b中所示,电介质层105、107的去除使电介质层112内的沟槽113、114分别被置于侧壁间隔物108、109和侧壁间隔物110、111之间。
在去除电介质层105、107之后,在衬底100上形成电介质层115。优选地,电介质层115包括高k栅极电介质层。可以用来制造这种高k栅极电介质层的一些材料包括:氧化铪、铪硅氧化物、氧化镧、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铌酸铅锌。尤其优选的是氧化铪、氧化锆和氧化铝。虽然在此描述了可以用来形成高k栅极电介质层的一些材料示例,但是该层可以用其他材料制成。
可以使用例如常规化学气相沉积(“CVD”)、低压CVD或物理气相沉积(“PVD”)工艺的常规沉积方法来在衬底100上形成高k栅极电介质层115。优选地,使用常规原子层CVD工艺。在这种工艺中,可以将金属氧化物先驱体(precursor)(例如,金属氯化物)和蒸汽以选定流速输送到CVD反应器中,然后,在选定的温度和压强下操作所述CVD反应器,以在衬底100和高k栅极电介质层115之间产生原子级平滑的界面。CVD反应器应该被操作足够久,以形成具有期望厚度的层。在大多数的应用中,高k栅极电介质层115的厚度应该小于约60埃,并且更优选地为在约5埃到约40埃之间。
如在图1c中示出的,当原子层CVD工艺被用来形成高k栅极电介质层115时,该层除在沟槽113、114的底部形成外,将在这些槽的侧面上形成。如果高k栅极电介质层115包括氧化物,则取决于用来制造它的工艺,它可能出现在随机表面部位(site)的氧空位(oxygen vacancy)和不可接受的杂质水平。人们可能期望在层115被沉积之后将杂质从层115去除,并且对它进行氧化以产生具有接近理想的金属:氧的化学计量比的层。
为了从该层去除杂质并且增加该层的氧含量,湿法化学处理可以被应用到高k栅极电介质层115。这种湿法化学处理可以包括在足够的温度下使高k栅极电介质层115暴露给包括过氧化氢的溶液足够的时间,以从高k栅极电介质层115去除杂质并且增加高k栅极电介质层115的氧含量。暴露高k栅极电介质层115的合适时间和温度可以依赖于期望的厚度和关于高k栅极电介质层115的其他性质。
当高k栅极电介质层115被暴露给基于过氧化氢的溶液时,可以使用包含按体积计算约2%到约30%之间过氧化氢的水溶液。该暴露步骤应该在约15℃到约40℃之间进行至少约1分钟。在特别优选的实施方案中,高k栅极电介质层115在约25℃的温度下被暴露给包含按体积计算约6.7%的H2O2的水溶液约10分钟。在该暴露步骤期间,人们可能期望施加频率在约10KHz到约2000KHz之间的声波能,同时所述声波能以每平方厘米约1到约10瓦特之间消耗。在优选的实施方案中,可以施加频率为约1000KHz的声波能,同时所述声波能以约每平方厘米5瓦特消耗。
虽然未在图1c中示出,但是人们可能期望在高k栅极电介质层115上形成厚度不会大于5个单层的盖覆层(capping layer)。这样的盖覆层可以通过将一个到五个硅或另一材料的单层溅射到高k栅极电介质层115的表面上来形成。然后可以,例如,通过使用等离子体增强型化学气相沉积工艺或者包含氧化剂的溶液来氧化盖覆层,以形成盖覆的电介质氧化物。
虽然在一些实施方案中可能期望在高k栅极电介质层115上形成盖覆层,但是在图示的实施方案中,金属层116直接被形成在层115上,以产生图1c的结构。金属层116可以包括从其可以得到金属栅电极的任何传导材料,并且可以使用公知的PVD或CVD工艺在高k栅极电介质层115上被形成。可以用来形成金属层116的n型材料的实施例包括:铪、锆、钛、钽、铝和包括这些元素的金属碳化物,即,碳化钛、碳化锆、碳化钽、碳化铪和碳化铝。可以使用的p型金属的实施例包括:钌、钯、铂、钴、镍和传导金属氧化物
(例如,氧化钌)。虽然在此描述了可以用来形成金属层116的材料的一些实施例,但是该层可以由许多其他材料制成。
金属层116应该足够厚,以确保在其上形成的任何材料将不会显著地影响它的功函数。优选地,金属层116的厚度在约25埃到约300埃之间,并且更优选地为在约25埃到约200埃之间。当金属层116包括n型材料时,层116优选地具有约3.9eV到约4.2eV之间的功函数。当金属层116包括p型材料时,层116优选地具有约4.9eV到约5.2eV之间的功函数。
在高k栅极电介质层115上形成金属层116之后,金属层116的部分被掩蔽。然后金属层116暴露的部分被去除,接着去除所有掩蔽材料,以产生图1d的结构。在该结构中,第一金属层117被形成在高k栅极电介质层115的第一部分118上,使得该第一金属层117覆盖高k栅极电介质层115的第一部分118,但是不覆盖高k栅极电介质层115的第二部分119。虽然常规技术可以被应用,以掩蔽金属层116的部分,然后去除该层暴露的部分,但是如以下描述的那样,人们可能期望将旋涂玻璃(“SOG”)材料用作掩蔽材料。
在这个实施方案中,第二金属层120被随后沉积在第一金属层117和高k栅极电介质层115的暴露的第二部分119上——从而产生图1e图示的结构。如果第一金属层117包括n型金属(例如,以上所列出的n型金属中的一种),则第二金属层120优选地包括p型金属(例如,以上所列出的p型金属中的一种)。相反地,如果第一金属层117包括p型金属,则第二金属层120优选地包括n型金属。
第二金属层120可以使用常规PVD或CVD工艺来形成在高k栅极电介质层115和第一金属层117上,该第二金属层120的厚度优选地为在约25埃到约300埃之间,并且更优选地为在约25埃到约200埃之间。如果第二金属层120包括n型材料,则层120优选地具有约3.9eV到约4.2eV之间的功函数。如果第二金属层120包括p型材料,则层120优选地具有约4.9eV到约5.2eV之间的功函数。
在这个实施方案中,在层117和115上沉积第二金属层120之后,用可以轻易抛光的材料(例如,钨、铝、钛或氮化钛)填充沟槽113、114的剩余部分。可以使用常规金属沉积工艺来在整个器件之上沉积这种沟槽填充金属(例如,金属121)。然后该沟槽填充金属可以被回抛光,以便如在1f中示出的那样,它只填充沟槽113、114。
在将除沟槽填充金属121填充沟槽113、114的位置外的沟槽填充金属121去除之后,可以使用任何常规沉积工艺将盖覆电介质层(未示出)沉积到生成的结构上。对于本领域中的技术人员来说,用于在这种盖覆电介质层的沉积之后完成器件的工艺步骤(例如,形成器件的接触点(contact)、金属互连和钝化层)是公知的,并且在此将不会被描述。
图2a-2f表示当执行图1a-1f的实施方案以产生包括P/N结的器件时可以形成的结构的横截面。这种器件可以,例如,包括可以用在工艺开发工作中的SRAM。图2a-2f表示垂直于在图1a-1f中表示的横截面的平面而取向的结构。在这个方面,图2a-2f表示当将器件从在图1a-1f示出的位置旋转90度时生成的横截面。图2a-2f对应于如图1a-1f图示的那样在沟槽113内建造的结构。
在这个实施方案中,图2a示出在电介质层105上形成的多晶硅层104、122,所述电介质层105被形成在衬底100上。可以使用以上描述的材料和工艺步骤来产生这个结构。虽然这个实施方案图示可以不同地掺杂的两个多晶硅层,但是在可替换的实施方案中,单个多晶硅层可以被形成在电介质层105上。
在形成图2a结构之后,例如,使用以上描述的工艺步骤去除多晶硅层104、122和电介质层105,以产生沟槽113——如图2b图示的那样。然后沟槽113被涂敷(coat)高k栅极电介质层115和金属层116,以产生图2c结构。因为先前已经描述了用于形成这些层的工艺步骤和材料,所以在此将不会进行进一步的叙述。
然后,金属层116的部分被掩蔽,并且然后该层暴露的部分被去除(接着去除所有掩蔽材料),以产生图2d的结构。在该结构中,第一金属层117被形成在高k栅极电介质层115的第一部分118上,使得第一金属层117覆盖高k栅极电介质层115的第一部分118,但是不覆盖高k栅极电介质层115的第二部分123。
然后,如图2e图示的那样,第二金属层120被形成在高k栅极电介质115和第一金属层117上。然后用可以轻易抛光的材料(例如,沟槽填充金属121)填充沟槽113的剩余部分。如在2f中示出的那样,除了沟槽填充金属填充沟槽113的位置以外的沟槽填充金属被去除。常规CMP操作可以被用来对沟槽填充金属进行回抛光。用于完成器件的工艺步骤被省略,因为对于本领域中的技术人员来说,它们是公知的。
在图2a-2f表示的实施方案中,第一金属层被形成在高k栅极电介质层的第一部分上,接着在第一金属层上和在高k栅极电介质层的第二部分上形成第二金属层。第一和第二金属层为不同的传导类型。如果第一金属层117是n型,则第二金属层120是p型。如果第一金属层117是p型,则第二金属层120是n型。在生成的器件中,P/N结124存在于第一金属层117与第二金属层120交会的位置。
在具有图2f结构的器件中,邻近的沟槽(例如,图1a-1f的沟槽114(在图2f中未示出))可以具有方向相反的P/N结。在这种邻近沟槽内,第二金属层120可以在图2f中第一金属层117接触高k栅极电介质层的位置接触该电介质层,而第一金属层117可以在图2f中第二金属层120接触高k栅极电介质层的位置接触该电介质层。
虽然图2a-2f的实施方案图示用于形成具有P/N结的结构的方法,但是其他实施方案可以形成不包括P/N结的器件。例如,在其他器件中,在图1f中示出的第一金属层117和第二金属层120的组合可以沿着沟槽113的整个宽度涂敷沟槽113,而在图1f中示出的第二金属层120沿着沟槽114的整个宽度涂敷沟槽114。因此,本发明的方法不限于形成具有P/N结的器件。
图3a-3b表示当执行本发明的方法的第二实施方案时可以形成的结构的横截面。在这个第二实施方案中,SOG材料被用来在蚀刻金属层之前掩蔽金属层。如在图3a中示出的,SOG层125可以被形成在金属层116上。SOG层125的第一部分126覆盖高k栅极电介质层115的第一部分118,而SOG层125的第二部分127覆盖高k栅极电介质层115的第二部分119。掩模128(例如,已图形化的光致抗蚀剂层)覆盖SOG层125的第一部分126。如本领域中的技术人员将会清楚的,可以使用常规工艺在金属层116上沉积SOG层125并且产生掩模128。
然后,SOG层125的第二部分127被去除,而SOG层125的第一部分126被保留。常规SOG蚀刻工艺可以被用来去除第二部分127。该去除步骤暴露金属层116的部分129。然后如图3b图示的那样,金属层116暴露的部分129被去除。在去除暴露的部分129、掩模128和SOG层125的第一部分126之后,生成与图1d表示的相似的结构。常规工艺步骤可以被用来去除暴露的部分129、掩模128和第一部分126。
至少因为下面的理由,本发明的方法中的将SOG材料应用为掩蔽材料可以是有益的。这种SOG材料可以填充其他材料(例如,光致抗蚀剂)不能以令人满意的方式填充的窄沟槽。另外,用于去除SOG材料的常规蚀刻工艺可以有效地去除这种材料而不会去除下面的金属层的相当大的部分。
图4a-4b表示当执行图3a-3b的实施方案以产生包括P/N结的器件时可以形成的结构的横截面。图4a-4b相对图3a-3b具有与图2a-2f相对图1a-1f具有的类似的取向。如在图4a中示出的,SOG层125可以被形成在金属层116上。掩模128覆盖SOG层125的第一部分126。SOG层125的第二部分130被去除,同时SOG层125的第一部分126被保留,从而暴露金属层116的部分131。然后如图4b图示的那样,暴露的部分131被去除。在去除金属层116暴露的部分131、掩模128和SOG层125的第一部分126之后,第二金属层(与图2e的第二金属层120相似)可以被沉积到金属层116的剩余部分和邻近的高k栅极电介质暴露的部分上,以产生与图2e的结构相似的结构。虽然图4a-4b图示其中SOG掩蔽层被用来形成具有P/N结的器件的本发明的实施方案,但是这个实施方案不限于形成具有P/N结的器件。
虽然没有被包括在以上描述的实施方案中,但是可以在形成第一金属层之前,在高k栅极电介质层上形成底层(underlayer)金属。该底层金属可以包括以上列出的金属中的任何一种,可以使用先前描述的工艺步骤中的任何一个来形成,并且可以具有与高k栅极电介质层基本上相同的厚度。底层金属可以包括与用来制造第一和第二金属层的那些不同的材料,或者可以包括与用来制造第一金属层或第二金属层的材料相同的材料。
如以上图示的,本发明的方法使包括高k栅极电介质层和金属栅电极的CMOS器件产品能够针对NMOS和PMOS晶体管两者都具有合适的功函数。与其他类型的替换栅工艺相比,将这个方法集成到常规半导体生产工艺中没有那么麻烦。因为这个方法在沟槽内形成高k栅极电介质层,所以不期望的该层的侧面氧化可以被消除,或者至少被大大地减少。虽然以上描述的实施方式提供用于形成具有高k栅极电介质层和金属栅电极的CMOS器件的工艺的实施例,但是本发明不受限于这些特定的实施方式。
虽然以上描述已经指出可以在本发明中使用的一些步骤和材料,但是本领域中的技术人员将理解,可以做出许多修改和替换。因此,所有这样的修改、更改、替换和添加落入如所附的权利要求书定义的本发明的精神和范围。

Claims (25)

1.一种半导体器件,包括:
衬底;
所述衬底上的第一电介质层,其中所述第一电介质层包括沟槽;
所述第一电介质层上的栅极电介质层,其中所述栅极电介质层在所述沟槽的侧壁和底部上形成;
第一金属层,其中所述第一金属层位于所述栅极电介质层的第一部分之上但不位于所述栅极电介质层的第二部分之上;以及
第二金属层,其中所述第二金属层位于所述栅极电介质层的所述第二部分之上且位于所述第一金属层之上,且其中所述第一金属层和所述第二金属层在所述沟槽的底部处形成一P/N结,其中所述第一金属层包括选自由钌、钯、铂、钴、镍和传导金属氧化物组成的组的材料且所述第二金属层包括选自由铪、锆、钛、钽、铝和金属碳化物组成的组的材料。
2.如权利要求1所述的半导体器件,其特征在于,包括填充金属层,其中所述填充金属层位于所述沟槽中并位于所述栅极电介质层的所述第一和第二部分之上的所述第二金属层之上,且其中所述填充金属层包括选自由钨、铝、钛和氮化钛组成的组的材料。
3.如权利要求1所述的半导体器件,其特征在于,所述第一金属层具有在4.9eV到5.2eV之间的功函数,且所述第二金属层具有在3.9eV到4.2eV之间的功函数。
4.如权利要求1所述的半导体器件,其特征在于,所述栅极电介质层包括选自由氧化铪、铪硅氧化物、氧化镧、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铌酸铅锌组成的组的材料。
5.一种半导体器件,包括:
衬底;
位于所述衬底之上的第一电介质层,其中所述第一电介质层包括沟槽;
所述第一电介质层上的栅极电介质层,其中所述栅极电介质层在所述沟槽的侧壁和底部上形成;
第一金属层,其中所述第一金属层位于所述栅极电介质层的第一部分之上但不位于所述栅极电介质层的第二部分之上;以及
第二金属层,其中所述第二金属层位于所述栅极电介质层的所述第二部分之上并位于所述第一金属层之上,且其中所述第一金属层和所述第二金属层在所述沟槽的底部处形成一P/N结,其中所述第二金属层包括选自由钌、钯、铂、钴、镍和传导金属氧化物组成的组的材料且所述第一金属层包括选自由铪、锆、钛、钽、铝和金属碳化物组成的组的材料。
6.如权利要求5所述的半导体器件,其特征在于,包括填充金属层,其中所述填充金属层位于所述沟槽中并位于所述栅极电介质层的所述第一和第二部分之上的所述第二金属层之上,且其中所述填充金属层包括选自由钨、铝、钛和氮化钛组成的组的材料。
7.如权利要求5所述的半导体器件,其特征在于,所述第一金属层具有在3.9eV到4.2eV之间的功函数,且所述第二金属层具有在4.9eV到5.2eV之间的功函数。
8.如权利要求5所述的半导体器件,其特征在于,所述栅极电介质层包括选自由氧化铪、铪硅氧化物、氧化镧、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铌酸铅锌组成的组的材料。
9.一种半导体器件,包括:
衬底;
所述衬底上的第一电介质层,其中所述第一电介质层包括沟槽;
所述第一电介质层上的栅极电介质层,其中所述栅极电介质层在所述沟槽的侧壁和底部上形成;
第一金属层,其中所述第一金属层位于所述栅极电介质层的第一部分之上但不位于所述栅极电介质层的第二部分之上;以及
第二金属层,其中所述第二金属层位于所述栅极电介质层的所述第二部分之上且位于所述第一金属层之上,且其中所述第一金属层和所述第二金属层在所述沟槽的底部且接近所述沟槽的中心处形成一P/N结。
10.如权利要求9所述的半导体器件,其特征在于,
所述第一金属层具有在4.9eV到5.2eV之间的功函数,且所述第二金属层具有在3.9eV到4.2eV之间的功函数;或者
所述第一金属层具有在3.9eV到4.2eV之间的功函数,且所述第二金属层具有在4.9eV到5.2eV之间的功函数。
11.如权利要求9所述的半导体器件,其特征在于,包括填充金属层,其中所述填充金属层位于所述沟槽中并位于所述第二金属层之上,且其中所述填充金属层包括选自由钨、铝、钛和氮化钛组成的组的材料。
12.如权利要求9所述的半导体器件,其特征在于,所述栅极电介质层包括选自由氧化铪、铪硅氧化物、氧化镧、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铌酸铅锌组成的组的材料。
13.如权利要求9所述的半导体器件,其特征在于,所述第一金属层包括选自由钌、钯、铂、钴、镍和传导金属氧化物组成的组的材料且所述第二金属层包括选自由铪、锆、钛、钽、铝和金属碳化物组成的组的材料。
14.如权利要求9所述的半导体器件,其特征在于,所述第二金属层包括选自由钌、钯、铂、钴、镍和传导金属氧化物组成的组的材料且所述第一金属层包括选自由铪、锆、钛、钽、铝和金属碳化物组成的组的材料。
15.如权利要求9所述的半导体器件,其特征在于,包括填充金属层,其中所述填充金属层位于所述沟槽中并位于所述栅极电介质层的所述第一和第二部分之上的所述第二金属层之上,且其中所述填充金属层包括选自由钨、铝、钛和氮化钛组成的组的材料。
16.一种半导体器件,包括:
衬底;
所述衬底上的第一电介质层,其中所述第一电介质层包括沟槽;
所述第一电介质层上的栅极电介质层,其中所述栅极电介质层在所述沟槽的侧壁和底部上形成;
第一金属层,其中所述第一金属层位于所述栅极电介质层的第一部分之上但不位于所述栅极电介质层的第二部分之上,且其中所述第一金属层具有在4.9eV到5.2eV之间的功函数;以及
第二金属层,其中所述第二金属层位于所述栅极电介质层的所述第二部分之上且位于所述第一金属层之上,且其中所述第二金属层具有在3.9eV到4.2eV之间的功函数。
17.如权利要求16所述的半导体器件,其特征在于,包括填充金属层,其中所述填充金属层位于所述沟槽中并位于所述第二金属层之上,且其中所述填充金属层包括选自由钨、铝、钛和氮化钛组成的组的材料。
18.如权利要求16所述的半导体器件,其特征在于,所述栅极电介质层包括选自由氧化铪、铪硅氧化物、氧化镧、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铌酸铅锌组成的组的材料。
19.如权利要求16所述的半导体器件,其特征在于,所述第一金属层包括选自由钌、钯、铂、钴、镍和传导金属氧化物组成的组的材料且所述第二金属层包括选自由铪、锆、钛、钽、铝和金属碳化物组成的组的材料。
20.如权利要求16所述的半导体器件,其特征在于,包括填充金属层,其中所述填充金属层位于所述沟槽中并位于所述栅极电介质层的所述第一和第二部分之上的所述第二金属层之上,且其中所述填充金属层包括选自由钨、铝、钛和氮化钛组成的组的材料。
21.一种半导体器件,包括:
衬底;
所述衬底上的第一电介质层,其中所述第一电介质层包括沟槽;
所述第一电介质层上的栅极电介质层,其中所述栅极电介质层在所述沟槽的侧壁和底部上形成;
第一金属层,其中所述第一金属层位于所述栅极电介质层的第一部分之上但不位于所述栅极电介质层的第二部分之上,且其中所述第一金属层具有在3.9eV到4.2eV之间的功函数;以及
第二金属层,其中所述第二金属层位于所述栅极电介质层的所述第二部分之上且位于所述第一金属层之上,且其中所述第二金属层具有在4.9eV到5.2eV之间的功函数。
22.如权利要求21所述的半导体器件,其特征在于,包括填充金属层,其中所述填充金属层位于所述沟槽中并位于所述第二金属层之上,且其中所述填充金属层包括选自由钨、铝、钛和氮化钛组成的组的材料。
23.如权利要求21所述的半导体器件,其特征在于,所述栅极电介质层包括选自由氧化铪、铪硅氧化物、氧化镧、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铌酸铅锌组成的组的材料。
24.如权利要求21所述的半导体器件,其特征在于,所述第二金属层包括选自由钌、钯、铂、钴、镍和传导金属氧化物组成的组的材料且所述第一金属层包括选自由铪、锆、钛、钽、铝和金属碳化物组成的组的材料。
25.如权利要求21所述的半导体器件,其特征在于,包括填充金属层,其中所述填充金属层位于所述沟槽中并位于所述栅极电介质层的所述第一和第二部分之上的所述第二金属层之上,且其中所述填充金属层包括选自由钨、铝、钛和氮化钛组成的组的材料。
CN201010236555.8A 2004-04-20 2005-03-31 用于制造具有高k栅极电介质层和金属栅电极的半导体器件的方法 Active CN101916771B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/828,958 2004-04-20
US10/828,958 US7153784B2 (en) 2004-04-20 2004-04-20 Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2005800125684A Division CN1947242B (zh) 2004-04-20 2005-03-31 用于制造具有高k栅极电介质层和金属栅电极的半导体器件的方法

Publications (2)

Publication Number Publication Date
CN101916771A CN101916771A (zh) 2010-12-15
CN101916771B true CN101916771B (zh) 2013-01-23

Family

ID=34964765

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2005800125684A Active CN1947242B (zh) 2004-04-20 2005-03-31 用于制造具有高k栅极电介质层和金属栅电极的半导体器件的方法
CN201010236555.8A Active CN101916771B (zh) 2004-04-20 2005-03-31 用于制造具有高k栅极电介质层和金属栅电极的半导体器件的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2005800125684A Active CN1947242B (zh) 2004-04-20 2005-03-31 用于制造具有高k栅极电介质层和金属栅电极的半导体器件的方法

Country Status (6)

Country Link
US (3) US7153784B2 (zh)
KR (1) KR100838851B1 (zh)
CN (2) CN1947242B (zh)
DE (1) DE112005000854B4 (zh)
TW (1) TWI285956B (zh)
WO (1) WO2005106950A1 (zh)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153784B2 (en) 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7144783B2 (en) * 2004-04-30 2006-12-05 Intel Corporation Reducing gate dielectric material to form a metal gate electrode extension
US7157378B2 (en) * 2004-07-06 2007-01-02 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7390709B2 (en) 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
US7741230B2 (en) * 2006-08-08 2010-06-22 Intel Corporation Highly-selective metal etchants
US8217435B2 (en) 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
US7682891B2 (en) * 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
US20100013009A1 (en) * 2007-12-14 2010-01-21 James Pan Structure and Method for Forming Trench Gate Transistors with Low Gate Resistance
US8030163B2 (en) * 2007-12-26 2011-10-04 Intel Corporation Reducing external resistance of a multi-gate device using spacer processing techniques
US7763943B2 (en) * 2007-12-26 2010-07-27 Intel Corporation Reducing external resistance of a multi-gate device by incorporation of a partial metallic fin
JP5288789B2 (ja) * 2007-12-28 2013-09-11 株式会社東芝 半導体装置及びその製造方法
US20090206404A1 (en) * 2008-02-15 2009-08-20 Ravi Pillarisetty Reducing external resistance of a multi-gate device by silicidation
US8264048B2 (en) * 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US7838946B2 (en) * 2008-03-28 2010-11-23 United Microelectronics Corp. Method for fabricating semiconductor structure and structure of static random access memory
US8022487B2 (en) * 2008-04-29 2011-09-20 Intel Corporation Increasing body dopant uniformity in multi-gate transistor devices
US7880236B2 (en) * 2008-07-28 2011-02-01 Advanced Micro Devices, Inc. Semiconductor circuit including a long channel device and a short channel device
US8304349B2 (en) * 2008-08-18 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method to integrate gate etching as all-in-one process for high K metal gate
US8003507B2 (en) * 2008-08-18 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of integrating high-K/metal gate in CMOS process flow
US8349680B2 (en) * 2008-08-21 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. High-k metal gate CMOS patterning method
US7776755B2 (en) * 2008-09-05 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process
US7927943B2 (en) 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8198685B2 (en) * 2008-12-23 2012-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with metal gate and methods for forming the same
DE102009006802B3 (de) * 2009-01-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
US7915127B2 (en) * 2009-07-27 2011-03-29 United Microelectronics Corp. Manufacturing method of semiconductor device
US8202780B2 (en) * 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
JP5407645B2 (ja) * 2009-08-04 2014-02-05 富士通セミコンダクター株式会社 半導体装置及びその製造方法
KR101656443B1 (ko) * 2009-11-20 2016-09-22 삼성전자주식회사 금속 게이트 스택 구조물을 갖는 씨모스 소자
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US8779530B2 (en) 2009-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8836035B2 (en) * 2010-03-10 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing gate resistance
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
CN102738083B (zh) * 2011-04-06 2016-05-25 联华电子股份有限公司 具有金属栅极的半导体元件的制作方法
CN102760758A (zh) * 2011-04-26 2012-10-31 联华电子股份有限公司 金属栅极结构
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20120319198A1 (en) 2011-06-16 2012-12-20 Chin-Cheng Chien Semiconductor device and fabrication method thereof
KR101692362B1 (ko) * 2011-06-22 2017-01-05 삼성전자 주식회사 식각 정지 절연막을 이용한 반도체 장치의 제조 방법
US8674452B2 (en) 2011-06-24 2014-03-18 United Microelectronics Corp. Semiconductor device with lower metal layer thickness in PMOS region
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8569135B2 (en) * 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US8580625B2 (en) 2011-07-22 2013-11-12 Tsuo-Wen Lu Metal oxide semiconductor transistor and method of manufacturing the same
US8580641B2 (en) 2011-07-26 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing high-k dielectric metal gate CMOS
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
US8753968B2 (en) * 2011-10-24 2014-06-17 United Microelectronics Corp. Metal gate process
US8658487B2 (en) 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
WO2013101007A1 (en) 2011-12-28 2013-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process
US20130187236A1 (en) * 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US20130199916A1 (en) * 2012-02-08 2013-08-08 Empire Technology Development Llc Elongational structures
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US9202698B2 (en) * 2012-02-28 2015-12-01 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
SG11201405638UA (en) * 2012-03-12 2014-10-30 Entegris Inc Methods for the selective removal of ashed spin-on glass
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US9991375B2 (en) 2012-05-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a semiconductor device
US8836049B2 (en) 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
CN103545183B (zh) * 2012-07-12 2016-06-29 中芯国际集成电路制造(上海)有限公司 Cmos器件及其制作方法
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9508716B2 (en) * 2013-03-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor device
US9023708B2 (en) 2013-04-19 2015-05-05 United Microelectronics Corp. Method of forming semiconductor device
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
KR102066848B1 (ko) * 2013-06-24 2020-01-16 삼성전자 주식회사 반도체 소자 및 그 제조 방법
CN104377168A (zh) * 2013-08-16 2015-02-25 中国科学院微电子研究所 半导体器件制造方法
US9384984B2 (en) 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US9245972B2 (en) 2013-09-03 2016-01-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9281201B2 (en) 2013-09-18 2016-03-08 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US9318490B2 (en) 2014-01-13 2016-04-19 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US9634006B2 (en) * 2014-02-28 2017-04-25 International Business Machines Corporation Third type of metal gate stack for CMOS devices
US20180158821A1 (en) * 2016-12-06 2018-06-07 Globalfoundries Inc. Gate structures with low resistance
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
CN115332248A (zh) 2021-05-11 2022-11-11 联华电子股份有限公司 形成半导体元件的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1443367A (zh) * 2000-06-12 2003-09-17 摩托罗拉公司 用于cmos过程的双金属栅极晶体管
CN1476093A (zh) * 2002-08-15 2004-02-18 联华电子股份有限公司 双功函数互补金氧半导体晶体管及其制法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5851412B2 (ja) 1975-03-19 1983-11-16 株式会社日立製作所 半導体装置の微細加工方法
US5690286A (en) * 1995-09-27 1997-11-25 Beloit Technologies, Inc. Refiner disc with localized surface roughness
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6261887B1 (en) 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
US20020197790A1 (en) 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
GB2358737A (en) 1999-03-01 2001-08-01 Nec Corp Methods for manufacturing a complimentary integrated circuit
US6255698B1 (en) * 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
JP2001284466A (ja) 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
JP2002118175A (ja) 2000-10-05 2002-04-19 Toshiba Corp 半導体装置及びその製造方法
JP2002198441A (ja) * 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US6365450B1 (en) * 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
KR100399356B1 (ko) 2001-04-11 2003-09-26 삼성전자주식회사 듀얼 게이트를 가지는 씨모스형 반도체 장치 형성 방법
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6573193B2 (en) 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US6797599B2 (en) 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6620713B2 (en) * 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US20030201121A1 (en) * 2002-04-25 2003-10-30 Pei-Ren Jeng Method of solving the unlanded phenomenon of the via etch
US6794281B2 (en) * 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6855593B2 (en) * 2002-07-11 2005-02-15 International Rectifier Corporation Trench Schottky barrier diode
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6858483B2 (en) * 2002-12-20 2005-02-22 Intel Corporation Integrating n-type and p-type metal gate transistors
US6696327B1 (en) * 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6856288B2 (en) 2003-04-28 2005-02-15 Bae Systems Information And Electronic Systems Integration Inc. Ferrite loaded meander line loaded antenna
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7044329B2 (en) * 2003-07-21 2006-05-16 Simplehuamn Llc Container for storing and dispensing bags
US7129182B2 (en) * 2003-11-06 2006-10-31 Intel Corporation Method for etching a thin metal layer
US6974764B2 (en) * 2003-11-06 2005-12-13 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7160767B2 (en) * 2003-12-18 2007-01-09 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
US7220635B2 (en) 2003-12-19 2007-05-22 Intel Corporation Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7153734B2 (en) * 2003-12-29 2006-12-26 Intel Corporation CMOS device with metal and silicide gate electrodes and a method for making it
US7183184B2 (en) * 2003-12-29 2007-02-27 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
US6893927B1 (en) * 2004-03-22 2005-05-17 Intel Corporation Method for making a semiconductor device with a metal gate electrode
US7208361B2 (en) * 2004-03-24 2007-04-24 Intel Corporation Replacement gate process for making a semiconductor device that includes a metal gate electrode
US7285829B2 (en) * 2004-03-31 2007-10-23 Intel Corporation Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7153784B2 (en) 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
FR2869512B1 (fr) * 2004-05-03 2006-12-01 Techpack Int Sa Emballage de produits cosmetiques, typiquement un boitier, a fermoir arriere
US20050250258A1 (en) 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1443367A (zh) * 2000-06-12 2003-09-17 摩托罗拉公司 用于cmos过程的双金属栅极晶体管
CN1476093A (zh) * 2002-08-15 2004-02-18 联华电子股份有限公司 双功函数互补金氧半导体晶体管及其制法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2002-118175A 2002.04.19

Also Published As

Publication number Publication date
US7355281B2 (en) 2008-04-08
CN1947242A (zh) 2007-04-11
DE112005000854B4 (de) 2009-12-17
DE112005000854T5 (de) 2007-03-15
TW200539277A (en) 2005-12-01
KR100838851B1 (ko) 2008-06-16
TWI285956B (en) 2007-08-21
US20050233527A1 (en) 2005-10-20
US7153784B2 (en) 2006-12-26
US20080135952A1 (en) 2008-06-12
US20060180878A1 (en) 2006-08-17
CN1947242B (zh) 2010-09-29
CN101916771A (zh) 2010-12-15
WO2005106950A1 (en) 2005-11-10
US7671471B2 (en) 2010-03-02
KR20070004046A (ko) 2007-01-05

Similar Documents

Publication Publication Date Title
CN101916771B (zh) 用于制造具有高k栅极电介质层和金属栅电极的半导体器件的方法
CN108807277B (zh) 栅极环绕半导体器件及其制作方法
US7176090B2 (en) Method for making a semiconductor device that includes a metal gate electrode
CN101027761B (zh) 使半导体结构平坦化以形成替代金属栅
CN100524660C (zh) 用于制作具有高k栅介电层和金属栅电极的半导体器件的方法
CN100550350C (zh) 具有金属和硅化物栅电极的cmos器件及其制作方法
US7183184B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US7208361B2 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
TWI294665B (en) Forming integrated circuits with replacement metal gate electrodes
US20070141798A1 (en) Silicide layers in contacts for high-k/metal gate transistors
TW495876B (en) Semiconductor integrated circuit device and its manufacture method
WO2005112110A1 (en) A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
CN106952874B (zh) 多阈值电压鳍式晶体管的形成方法
CN109427896A (zh) 半导体装置的形成方法
US20220262649A1 (en) Semiconductor Device and Method
US20050266694A1 (en) Controlling bubble formation during etching
CN106711041B (zh) 半导体器件的形成方法
TW200933748A (en) Method of manufacturing a MOS transistor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant