CN100524660C - 用于制作具有高k栅介电层和金属栅电极的半导体器件的方法 - Google Patents

用于制作具有高k栅介电层和金属栅电极的半导体器件的方法 Download PDF

Info

Publication number
CN100524660C
CN100524660C CNB2005800296654A CN200580029665A CN100524660C CN 100524660 C CN100524660 C CN 100524660C CN B2005800296654 A CNB2005800296654 A CN B2005800296654A CN 200580029665 A CN200580029665 A CN 200580029665A CN 100524660 C CN100524660 C CN 100524660C
Authority
CN
China
Prior art keywords
metal
dielectric layer
oxide
layer
titanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005800296654A
Other languages
English (en)
Other versions
CN101010788A (zh
Inventor
J·布拉斯克
C·巴恩斯
M·多茨
U·夏
J·卡瓦利罗斯
M·梅茨
S·达塔
A·米勒
R·曹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101010788A publication Critical patent/CN101010788A/zh
Application granted granted Critical
Publication of CN100524660C publication Critical patent/CN100524660C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

描述了一种制造半导体器件的方法。该方法包括在衬底上形成介电层、在介电层内形成沟槽、和在沟槽内形成高k栅介电层。在高k栅介电层上形成第一金属层之后,在该第一金属层上形成第二金属层。利用抛光步骤从该介电层上除去第二金属层的至少一部分,并且利用刻蚀步骤从该介电层上除去另外的材料。

Description

用于制作具有高k栅介电层和金属栅电极的半导体器件的方法
技术领域
本发明涉及用于制作半导体器件、尤其是包括金属栅电极的半导体器件的方法。
背景技术
具有由二氧化硅制成的非常薄的栅电介质的MOS场效应晶体管可能会经历不能接受的栅极漏电流。由特定高k介电材料替代二氧化硅形成栅电介质可以减小栅极泄漏。然而,由于这种电介质可能与多晶硅不兼容,因此期望在包括高k栅电介质的器件中使用金属栅电极。
在制作包括金属栅电极的CMOS器件时,可以使用取代栅工艺来由不同金属形成栅电极。在该工艺中,被一对隔离物托架的第一多晶硅层被除去以形成在这些隔离物之间的第一沟槽。在沟槽内沉积第一功函数金属。然后第二多晶硅层被除去以形成第二沟槽,并且被不同于第一功函数金属的第二功函数金属所取代。
当应用这种取代栅工艺时,仅将沟槽的一部分填充功函数金属然后用填充金属填充沟槽的剩余部分可能是有利的。在所得到的结构中,在其上形成了金属层的高k栅介电层可以溢出到分开这些沟槽的氧化物层上。类似地,功函数和填充金属的一部分可以形成在该氧化物层之上。在当前的工艺中,抛光操作,例如化学机械抛光(“CMP”)步骤,可以用来从氧化物层上除去高k栅介电层、功函数金属、和填充金属。
如果绶慢地抛光功函数金属,可能需要相对长的过抛光(overpolish)步骤来完全除去它们。当这种过抛光步骤对下面的高k栅介电层没有选择性时,可能在下面的氧化物层的厚度方面产生显著的批次之间或晶片之间的变化。在一些情况下,到完成抛光操作时可能在晶片的一些部分上出现了氧化物厚度的严重减小。
因此,需要一种改善的工艺来制造包括高k栅介电层和金属栅电极的半导体器件。存在对这种工艺的需要,其可以从下面的介电层(例如氧化物层)上除去填充和功函数金属而不除去该下面层的显著部分并且没有引起介电层表现出在厚度方面的显著变化。本发明的方法提供这种工艺。
发明内容
根据本发明的一个方面,提供了一种用于制造半导体器件的方法,包括:在衬底上形成介电层;在介电层内形成沟槽;在沟槽内形成高k栅介电层;在高k栅介电层上形成第一金属层;在第一金属层上形成第二金属层;利用化学机械抛光步骤从该介电层上除去第二金属层的至少一部分;以及利用等离子体干法刻蚀步骤从该介电层上除去第一金属层和高k栅介电层的至少一部分。
根据本发明的另一个方面,提供了一种用于制造半导体器件的方法,包括:在衬底上形成介电层;在介电层内形成沟槽;在沟槽内形成高k栅介电层;在高k栅介电层上形成第一金属层;在第一金属层上形成密封层;以及在金属碳化物密封层上形成第二金属层;使用化学机械抛光步骤从介电层上除去密封层的至少一部分和第二金属层;以及使用等离子体干法刻蚀步骤从介电层上除去高k栅介电层和第一金属层的至少一部分。
根据本发明的又一个方面,提供了一种用于制造半导体器件的方法,包括:在衬底上形成介电层;在介电层内形成沟槽;在沟槽内形成高k栅介电层;在高k栅介电层上形成第一金属层;在第一金属层上形成密封层;在密封层上形成第二金属层;利用化学机械抛光步骤从该介电层上除去第二金属层的至少一部分;以及利用等离子体干法刻蚀步骤从该介电层上除去高k栅介电层、第一金属层和密封层的至少一部分。
附图说明
图1a-1j表示当实施本发明的方法的实施例时可以形成的结构的截面。
图2a-2b表示当实施本发明的方法的第二实施例时可以形成的结构的截面。
并没有打算按比例绘制在这些图中示出的特征。
具体实施方式
描述用来制造半导体器件的方法。该方法包括在衬底上形成介电层、在介电层内形成沟槽、和在沟槽内形成高k栅介电层。在高k栅介电层上形成第一金属层之后,在该第一金属层上形成第二金属层。利用抛光步骤从该介电层上除去第二金属层的至少一部分,并且利用刻蚀步骤从该介电层上除去另外的材料。
在以下描述中,许多细节被陈述以提供对本发明的完整理解。然而,对本领域的技术人员来说显而易见的是,可以以除了在这里明确描述的那些之外的多种方式来实施本发明。因此本发明并不被以下所公开的特定细节所限制。
图1a-1j示出当实施本发明的方法的实施例时可以形成的结构。图1a表示在制作CMOS器件时可以形成的中间结构。该结构包括衬底100的第一部分101和第二部分102。隔离区103将第一部分101和第二部分102分开。第一多晶硅层104形成在介电层105之上,并且第二多晶硅层106形成在介电层107之上。第一多晶硅层104用侧壁隔离物108和109来托架,并且第二多晶硅层106用侧壁隔离物110和111来托架。电介质112分开层104和106。
衬底100可以包括体硅或绝缘体上硅衬底。可替换地,衬底100可以包括其它材料-其可以或可以不与硅结合-例如:锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓、或锑化镓。尽管在此描述了可以形成衬底100的材料的几个实例,但是任何可以用作其上能够构造半导体器件的基础的材料都落入本发明的精神和范围内。
隔离区103可以包括二氧化硅、或其它可以分开晶体管的有源区的材料。介电层105和107均可以包括二氧化硅、或其它可以使衬底与其它物质绝缘的材料。第一和第二多晶硅层104和106优选均为在大约100埃和大约2,000埃之间厚,并且更优选为在大约500埃和大约1,600埃之间厚。在一个实施例中,一层可以是n型掺杂(例如利用砷、磷或另外的n型材料),而另一层是p型掺杂(例如利用硼或另外的p型材料)。隔离物108、109、110和111优选包括氮化硅,而电介质112可以包括二氧化硅或低k材料。
可以利用常规工艺步骤、材料以及设备形成图1a的结构,其对本领域的技术人员来说是显而易见的。如所示,可以例如通过常规的CMP步骤向后抛光电介质112以暴露第一和第二多晶硅层104和106。尽管没有示出,但是图1a的结构可以包括许多其它的可以利用常规工艺形成的结构(例如,氮化硅刻蚀停止层、源区和漏区、以及一个或多个缓冲层)。
当利用常规的离子注入和退火工艺形成源区和漏区时,可以期望在多晶硅层104和106上形成硬掩模-以及在该硬掩模上形成刻蚀停止层-以在利用硅化物覆盖源区和漏区时保护层104和106。这种硬掩模可以包括氮化硅。这种刻蚀停止层可以包括硅、氧化物(例如,二氧化硅或二氧化铪)、或碳化物(例如碳化硅)。
当抛光介电层112时,可以从层104和106的表面抛光这种刻蚀停止层和氮化硅硬掩模-因为那些层将通过该工艺中的那个阶段来实现它们的作用。图1a表示这样的结构:其中可以预先形成在层104和106上的任何硬掩模或刻蚀停止层已经从那些层的表面被除去。当利用离子注入工艺形成源区和漏区时,可以在源区和漏区被注入的同时掺杂层104和106。在这种工艺中,第一多晶硅层104可以是n型掺杂,而第二多晶硅层106是p型掺杂-或反之亦然。
在形成图1a的结构之后,第一多晶硅层104被除去。在优选实施例中,通过应用湿法腐蚀工艺除去该层。这种湿法腐蚀工艺可以包括在足够的温度下将层104暴露于包括氢氧化物的源的水溶液中足够的时间以除去基本全部的该层而没有除去相当大量的第二多晶硅层106。该氢氧化物的源可以在去离子水中按体积包括在大约2%和大约30%之间的氢氧化铵或氢氧化四烃基铵,例如氢氧化四甲铵(“TMAH”)。
可以通过将它暴露于溶液中来除去n型多晶硅层,其维持在大约15℃和大约90℃之间(并且优选在大约40℃以下)的温度,其在去离子水中按体积包括在大约2%和大约30%之间的氢氧化铵。在该暴露步骤期间,其优选持续至少一分钟,可以期望施加在大约10KHz和大约2,000KHz之间的频率的声能,同时以在大约1和大约10瓦/cm2之间消耗。例如,可以通过下述来除去大约1,350埃厚的n型多晶硅层:将其在大约25℃暴露于在去离子水中按体积包括大约15%的氢氧化铵的溶液中大约30分钟,同时施加在大约1,000KHz的声能-以大约5瓦/cm2消耗。
作为替换,可以通过下述来除去n型多晶硅层:将其暴露于溶液中至少一分钟,其维持在大约60℃和大约90℃之间的温度,其在去离子水中按体积包括在大约20%和大约30%之间的TMAH,同时施加声能。可以通过下述除去基本全部的大约1,350埃厚的这种n型多晶硅层:将其在大约80℃暴露于在去离子水中按体积包括大约25%的TMAH的溶液中大约2分钟,同时施加在大约1,000KHz的声能-以大约5瓦/cm2消耗。
在除去第一多晶硅层104后,介电层105被暴露。在该实施例中,层105被除去。当介电层105包括二氧化硅时,可以利用对二氧化硅有选择性的刻蚀工艺除去它。这种刻蚀工艺可以包括将层105暴露于在去离子水中包括大约百分之一的HF的溶液中。应当限制层105被暴露的时间,因为用来除去该层的刻蚀工艺也可以除去部分介电层112。考虑到该情况,如果百分之一的HF基溶液被用于除去层105,那么该器件优选应当暴露于该溶液中少于大约60秒,并且更优选为大约30秒或更少。如图1b中所示,去除介电层105在介电层112内形成了位于侧壁隔离物108和109之间的沟槽113。
在除去介电层105之后,在沟槽113内以及衬底100之上形成高k栅介电层115。可用于制造高k栅介电层115的材料的一些包括:氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、以及铌酸铅锌。特别优选的是氧化铪、氧化锆、和氧化铝。尽管这里描述了可用于形成高k栅介电层115的材料的几个实例,但是该层可由其它材料来制造。
高k栅介电层115可以使用常规的原子层化学汽相沉积(“CVD”)工艺形成在衬底100上。在这种工艺中,金属氧化物前体(例如金属氯化物)和蒸汽可以以选择的流速馈送到CVD反应器中,然后其在选择的温度和压力下工作以在衬底100和高k栅介电层115之间产生原子平滑的界面。该CVD反应器应当运转得足够长以形成具有期望厚度的层。在大多数应用中,高k栅介电层115应当为小于大约60埃厚,并且更优选为在大约5埃和大约40埃之间厚。
如图1c中所示,当利用原子层CVD工艺形成高k栅介电层115时,该层除了形成在沟槽113的底部之上外还将形成在该沟槽的侧上,并且将形成在介电层112上。如果高k栅介电层115包括氧化物,那么它可以在任意表面位置显现氧空位以及不可接受的杂质能级,取决于用来制作它的工艺。在沉积层115之后,可以期望从该层除去杂质,并且氧化它以产生具有几乎理想化的金属:氧化学计量关系的层。
为了从该层除去杂质并且增加该层的氧含量,可以对高k栅介电层115施加湿化学处理。这种湿化学处理可以包括在充足的温度下将高k栅介电层115暴露于包括过氧化氢的溶液中充足的时间以从高k栅介电层115除去杂质并且增加高k栅介电层115的氧含量。高k栅介电层115被暴露的适当时间和温度可以取决于高k栅介电层115的期望厚度和其它特性。
当高k栅介电层115暴露于基于过氧化氢的溶液时,可以使用按体积包括在大约2%和大约30%之间的过氧化氢的水溶液。该暴露步骤应当在大约15℃和大约40℃之间进行至少大约一分钟。在特别优选的实施例中,高k栅介电层115在大约25℃的温度下暴露于按体积包含大约6.7%的H2O2的水溶液中大约10分钟。在该暴露步骤期间,可以期望施加在大约10KHz和大约2,000KHz之间的频率的声能,同时以在大约1和大约10瓦/cm2之间消耗。在优选实施例中,可以施加在大约1,000KHz的频率的声能,同时以大约5瓦/cm2消耗。
尽管在图1c中未示出,但是可以期望在高k栅介电层115上形成盖层,其不多于大约五个单层厚。这种盖层可以通过在高k栅介电层115的表面上溅射一到五个单层的硅或另一材料来形成。然后可以例如通过使用等离子体增强化学汽相沉积工艺或包含氧化剂的溶液来氧化该盖层,以形成盖层介电氧化物。
尽管在一些实施例中可以期望在层115上形成盖层,但是在所示的实施例中,直接在高k栅介电层115上形成第一金属层116以产生图1d的结构。第一金属层116可以包括任何导电材料,由该导电材料可以得到金属栅电极,并且可以使用公知的物理汽相沉积(“PVD”)或CVD工艺形成在高k栅介电层115上。类似高k栅介电层115,在该实施例中,第一金属层116的一部分给沟槽113做衬里,同时该层的一部分溢出到介电层112上。
当第一金属层116用作n型功函数金属时,层116优选具有在大约3.9eV和大约4.2eV之间的功函数。可用于形成第一金属层116的N型材料包括铪、锆、钛、钽、铝、和包括这些元素的金属碳化物,即碳化钛、碳化锆、碳化钽、碳化铪和碳化铝。第一金属层116应当足够厚以保证形成在其上的任何材料将不明显地影响其功函数。优选地,第一金属层116为在大约25埃和大约300埃之间厚,并且更优选为在大约25埃和大约200埃之间厚。
在该实施例中,在高k栅介电层115上形成第一金属层116之后,第二金属层121形成在第一金属层116上。第二金属层121填充沟槽113的剩余部分并覆盖介电层112,如图1e所示。第二金属层121优选包括可以易于抛光的材料,并且优选使用常规金属沉积工艺沉积在整个器件上方。这种填充金属可以包括氮化钛、钨、钛、铝、钽、氮化钽、钴、铜、镍、或任何可以被抛光并且可以令人满意地填充沟槽113的其它金属。
在特别优选的实施例中,填充金属121包括氮化钛。氮化钛可以使用适当的CVD或PVD工艺来抛光,其不明显影响下面的第一金属层116或高k栅介电层115。另外,当随后除去第二多晶硅层106(如下所述)时,氮化钛可以比其它金属更能抵抗用于除去该层的刻蚀化学制剂。当填充金属121包括钨时,采用WF6前体的CVD工艺可用于沉积钨层。应当注意保证用于沉积这种钨层的工艺并没有不利地影响下面的功函数和高k栅介电层。此外,当填充金属121包括钨时,可能需要选择刻蚀化学制剂来除去第二多晶硅层106,其并没有除去该填充金属的显著部分。
作为用于使用PVD、CVD、或原子层CVD工艺来在第一金属层116上形成第二金属层121的替换,第二金属层121可以使用电镀或无电极电镀工艺形成在第一金属层116上。电镀技术可以特别适于填充具有高纵横比的沟槽。可以使用沉积和电镀工艺的多种组合来形成第二金属层121。
尽管在该实施例中,第二金属层121直接形成在第一金属层116上,但是在替换实施例中,在形成第二金属层121之前,可以在第一金属层116上形成相对薄的密封层。图2a-2b示出了当执行本发明的方法的这种替换实施例时可以形成的结构的截面。如图2a中所示,密封层130形成在第一金属层116上。密封层130可以是大约100埃厚,并且可以使用常规沉积工艺形成。
密封层130应当包括高度共形的导电层,其在随后的工艺步骤期间保护功函数金属116。在这一点上,密封层130应当包括防止在随后的抛光步骤中使用的化学制剂(例如,浆液和后抛光清洗溶液)使功函数金属116和/或高k栅介电层115的性能退化的材料。适当的材料可以包括金属碳化物、金属碳化物合金、金属氮化物、和金属氮化物合金。在特别优选的实施例中,密封层130包括碳化钛并使用常规原子层CVD工艺形成。可替换地,密封层130可以包括氮化钛或氮化钽层,其使用原子层CVD或其它CVD工艺形成。
在该替换实施例中,第二金属层121形成在密封层130上,如图2b所示-例如通过使用上面结合图1e确定的材料和工艺步骤。
在形成图1e的结构之后,使用抛光步骤从介电层112上除去第二金属层121的至少一部分。在优选实施例中,应用CMP步骤来从介电层112上除去基本全部的第二金属层121以产生图1f的结构-用作抛光停止的功函数金属116。尽管可以应用这种CMP步骤来从介电层112上除去全部的填充层121,同时停止在第一金属层116上,但是在替换实施例中,在CMP操作之后第二金属层121的相对薄的部分可以保留在介电层112上。可替换地,该CMP步骤除了从介电层112上除去填充金属121之外还可以从介电层112上除去部分或全部的功函数金属116。
在该CMP步骤之后,使用刻蚀步骤从介电层112上除去另外的材料。在优选实施例中,使用干法刻蚀步骤从介电层112上除去在化学机械抛光步骤之后保留下来的基本全部的第一金属层116。在优选实施例中,这种干法刻蚀步骤对高k栅介电层115有高度选择性,使得层115能够用作刻蚀停止。该刻蚀步骤可以包括等离子体干法刻蚀工艺,例如使用氯基等离子体的一种。这种等离子体干法刻蚀工艺的持续时间可以被控制以防止在该工艺期间除去下面的高k栅介电层115的显著部分。可替换地,在除去功函数金属116的剩余部分时,这种等离子体干法刻蚀工艺可以从介电层112上除去基本全部的高k栅介电层115。
如果先前填充金属抛光步骤除去了全部的功函数金属116,则可以应用随后的干法刻蚀工艺来从介电层112上除去在抛光步骤之后保留下来的基本全部的高k栅介电层115。可替换地,层115的任何剩余部分可以使用湿法腐蚀工艺除去。这种湿法腐蚀工艺可以使用相对强的酸,例如基于卤化物的酸(例如氢溴酸或盐酸)或磷酸。类似地,如果前面的干法刻蚀工艺从介电层112上除去了功函数金属116,而没有除去全部的下面层115,那么可以采用这种湿法腐蚀工艺来除去层115的剩余部分。在从介电层112上除去高k栅介电层115之后,这种湿法腐蚀工艺还可以用于清洗所得到的结构的表面。
在使用一种或多种刻蚀工艺来从介电层112上除去基本全部的功函数金属116和/或高k栅介电层115以产生图1g的结构之后,除去第二多晶硅层106。如果层106包括p型多晶硅层,则可以通过在足够的温度(例如在大约60℃和大约90℃之间)将层106暴露于在去离子水中按体积包括在大约20%和大约30%之间的TMAH的溶液中足够的时间,同时施加声能来对第二金属层121选择性地除去该层。
在除去第二多晶硅层106之后,例如通过利用被用来除去介电层105的相同工艺除去介电层107。除去介电层107产生沟槽114,如图1h所示。在除去该介电层之后,在沟槽114内以及在介电层112上形成高k栅介电层117。用来形成高k栅介电层115的同样的工艺步骤和材料可以被用来形成高k栅介电层117。
在该实施例中,然后在高k栅介电层117上沉积第三金属层120。如果第一金属层116包括n型金属,则第三金属层120优选包括p型金属。可以使用的p型金属的实例包括:钌、钯、铂、钴、镍、和导电的金属氧化物,例如氧化钌。尽管在这里描述了可以被用来形成第三金属层120的材料的几个实例,但是该层可以由许多其它材料制成。
第三金属层120可以包括利用常规的PVD或CVD工艺形成在高k栅介电层117上的第二功函数金属。第三金属层120优选为在大约25埃和大约300埃之间厚,并且更优选为在大约25埃和大约200埃之间厚。如果第三金属层120包括p型金属,则层120优选具有在大约4.9eV和大约5.2eV之间的功函数。
在高k栅介电层117上形成第三金属层120之后,可以在第三金属层120上形成第四金属层118,例如第二填充金属,以产生图1i的结构。用来形成第二金属层121的同样的工艺步骤和材料可以被用来形成第四金属层118。然后可以除去第二填充金属118、第二功函数金属120和高k栅介电层117的覆盖介电层112的部分以产生图1j的结构。被用来从介电层112上除去第一填充金属121、第一功函数金属116和高k栅介电层115的抛光和刻蚀步骤的相同组合可以被用来从介电层112上除去第二填充金属118、第二功函数金属120和高k栅介电层117。
在从介电层112上除去第二填充金属118、第二功函数金属120和高k栅介电层117之后,可以使用常规沉积工艺在所得到的结构上沉积覆盖介电层(未示出)。在沉积这种覆盖介电层之后用于完成该器件的工艺步骤,例如形成该器件的接触、金属互连、和钝化层,对于本领域技术人员来说是公知的,并且这里将不再描述。
如上所述,本发明的方法能够制造包括高k栅介电层和金属栅电极的CMOS器件。该方法能够从下面的介电层上除去填充和功函数金属而没有除去该下面层的显著部分并且没有引起该介电层表现出厚度方面的显著变化。该方法通过应用高选择性干法刻蚀工艺来除去难以抛光的功函数金属,而不是使用抛光工艺除去它们,可以促进这种结果。尽管上述实施例提供了用于形成具有高k栅介电层和金属栅电极的CMOS器件的工艺的实例,但是本发明并不限于这些具体实施例。
尽管前述的描述已经说明了可以用在本发明中的特定步骤和材料,但是本领域的技术人员将理解的是可以进行多种修改和替代。因此,所有这些修改、变型、替代以及添加落入由所附权利要求所限定的本发明的精神和范围之内。

Claims (20)

1.一种用于制造半导体器件的方法,包括:
在衬底上形成介电层;
在介电层内形成沟槽;
在沟槽内形成高k栅介电层;
在高k栅介电层上形成第一金属层;
在第一金属层上形成第二金属层;
利用化学机械抛光步骤从该介电层上除去第二金属层的至少一部分;以及
利用等离子体干法刻蚀步骤从该介电层上除去高k栅介电层和第一金属层的至少一部分。
2.如权利要求1的方法,其中高k栅介电层包括选自由氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽以及铌酸铅锌构成的组的材料。
3.如权利要求1的方法,其中第一金属层包括选自由铪、锆、钛、钽、铝、金属碳化物、钌、钯、铂、钴、镍以及导电的金属氧化物构成的组的功函数金属。
4.如权利要求3的方法,其中第一金属层为在大约25和大约300埃之间厚,并且具有在大约3.9eV和大约4.2eV之间的功函数。
5.如权利要求3的方法,其中第一金属层为在大约25和大约300埃之间厚,并且具有在大约4.9eV和大约5.2eV之间的功函数。
6.如权利要求1的方法,其中第二金属层包括选自由氮化钛、钨、钛、铝、钽、氮化钽、钴、铜以及镍构成的组的填充金属。
7.如权利要求1的方法,其中使用化学机械抛光步骤从介电层上除去基本全部的第二金属层。
8.如权利要求7的方法,其中使用等离子体干法刻蚀步骤从介电层上除去在化学机械抛光步骤之后保留下来的基本全部的第一金属层。
9.如权利要求7的方法,其中使用等离子体干法刻蚀步骤从介电层上除去在化学机械抛光步骤之后保留下来的基本全部的高k栅介电层。
10.一种用于制造半导体器件的方法,包括:
在衬底上形成介电层;
在介电层内形成沟槽;
在沟槽内形成高k栅介电层;
在高k栅介电层上形成第一金属层;
在第一金属层上形成密封层;
在金属碳化物密封层上形成第二金属层;
使用化学机械抛光步骤从介电层上除去密封层的至少一部分和第二金属层;以及
使用等离子体干法刻蚀步骤从介电层上除去高k栅介电层和第一金属层的至少一部分。
11.如权利要求10的方法,其中高k栅介电层包括选自由氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽以及铌酸铅锌构成的组的材料。
12.如权利要求10的方法,其中第一金属层包括选自由铪、锆、钛、钽、铝、金属碳化物、钌、钯、铂、钴、镍以及导电的金属氧化物构成的组的功函数金属。
13.如权利要求12的方法,其中第一金属层为在大约25和大约300埃之间厚,并且具有在大约3.9eV和大约4.2eV之间的功函数。
14.如权利要求12的方法,其中第一金属层为在大约25和大约300埃之间厚,并且具有在大约4.9eV和大约5.2eV之间的功函数。
15.如权利要求10的方法,其中第二金属层包括选自由氮化钛、钨、钛、铝、钽、氮化钽、钴、铜以及镍构成的组的填充金属。
16.如权利要求10的方法,其中密封层包括选自由金属碳化物、金属碳化物合金、金属氮化物和金属氮化物合金构成的组的材料。
17.如权利要求16的方法,其中密封层使用原子层化学汽相沉积工艺形成并且包括选自由碳化钛、氮化钛和氮化钽构成的组的材料。
18.一种用于制造半导体器件的方法,包括:
在衬底上形成介电层;
在介电层内形成沟槽;
在沟槽内形成高k栅介电层;
在高k栅介电层上形成第一金属层;
在第一金属层上形成密封层;
在密封层上形成第二金属层;
利用化学机械抛光步骤从该介电层上除去第二金属层的至少一部分;以及
利用等离子体干法刻蚀步骤从该介电层上除去高k栅介电层、第一金属层和密封层的至少一部分。
19.如权利要求18的方法,其中:
高k栅介电层包括选自由氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽以及铌酸铅锌构成的组的材料;
第一金属层包括选自由铪、锆、钛、钽、铝、金属碳化物、钌、钯、铂、钴、镍以及导电的金属氧化物构成的组的功函数金属;
密封层包括选自由金属碳化物、金属碳化物合金、金属氮化物和金属氮化物合金构成的组的材料;以及
第二金属层包括选自由氮化钛、钨、钛、铝、钽、氮化钽、钴、铜以及镍构成的组的填充金属。
20.如权利要求18的方法,其中:
使用化学机械抛光步骤从介电层上除去基本全部的第二金属层;以及
使用干法刻蚀步骤从介电层上除去在化学机械抛光步骤之后保留下来的基本全部的第一金属层和基本全部的高k栅介电层。
CNB2005800296654A 2004-07-06 2005-06-30 用于制作具有高k栅介电层和金属栅电极的半导体器件的方法 Active CN100524660C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/885,958 2004-07-06
US10/885,958 US7157378B2 (en) 2004-07-06 2004-07-06 Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Publications (2)

Publication Number Publication Date
CN101010788A CN101010788A (zh) 2007-08-01
CN100524660C true CN100524660C (zh) 2009-08-05

Family

ID=34993074

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800296654A Active CN100524660C (zh) 2004-07-06 2005-06-30 用于制作具有高k栅介电层和金属栅电极的半导体器件的方法

Country Status (6)

Country Link
US (1) US7157378B2 (zh)
KR (1) KR20070029840A (zh)
CN (1) CN100524660C (zh)
DE (1) DE112005001593B4 (zh)
TW (1) TWI294650B (zh)
WO (1) WO2006014401A1 (zh)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
DE102006037510B3 (de) * 2006-08-10 2008-04-10 Infineon Technologies Austria Ag Verfahren zum Herstellen einer Grabenstruktur, die Verwendung dieses Verfahrens zur Herstellung einer Halbleiteranordnung und Halbleiteranordnung mit einer Grabenstruktur
DE102006040585B4 (de) * 2006-08-30 2013-02-07 Infineon Technologies Ag Verfahren zum Auffüllen eines Grabens in einem Halbleiterprodukt
US7812414B2 (en) * 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
US7435652B1 (en) * 2007-03-30 2008-10-14 International Business Machines Corporation Integration schemes for fabricating polysilicon gate MOSFET and high-K dielectric metal gate MOSFET
US8039339B2 (en) * 2007-04-23 2011-10-18 Freescale Semiconductor, Inc. Separate layer formation in a semiconductor device
US8030163B2 (en) * 2007-12-26 2011-10-04 Intel Corporation Reducing external resistance of a multi-gate device using spacer processing techniques
US7763943B2 (en) * 2007-12-26 2010-07-27 Intel Corporation Reducing external resistance of a multi-gate device by incorporation of a partial metallic fin
DE102007063270B4 (de) * 2007-12-31 2011-06-01 Amd Fab 36 Limited Liability Company & Co. Kg Verfahren zur Verringerung zur Erzeugung von Ladungseinfangstellen in Gatedielektrika in MOS-Transistoren durch Ausführen einer Wasserstoffbehandlung
US7892911B2 (en) * 2008-01-10 2011-02-22 Applied Materials, Inc. Metal gate electrodes for replacement gate integration scheme
US7799630B2 (en) * 2008-01-23 2010-09-21 United Microelectronics Corp. Method for manufacturing a CMOS device having dual metal gate
US8264048B2 (en) * 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US20090206404A1 (en) * 2008-02-15 2009-08-20 Ravi Pillarisetty Reducing external resistance of a multi-gate device by silicidation
US20090206416A1 (en) * 2008-02-19 2009-08-20 International Business Machines Corporation Dual metal gate structures and methods
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
US7781321B2 (en) 2008-05-09 2010-08-24 International Business Machines Corporation Electroless metal deposition for dual work function
US20100052077A1 (en) * 2008-08-27 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High-k metal gate structure including buffer layer
US8084824B2 (en) * 2008-09-11 2011-12-27 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US8030718B2 (en) 2008-09-12 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Local charge and work function engineering on MOSFET
US8198685B2 (en) * 2008-12-23 2012-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with metal gate and methods for forming the same
US7915127B2 (en) * 2009-07-27 2011-03-29 United Microelectronics Corp. Manufacturing method of semiconductor device
KR101634748B1 (ko) * 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
KR101656444B1 (ko) 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
KR101781620B1 (ko) * 2010-09-01 2017-09-25 삼성전자주식회사 모오스 트랜지스터의 제조방법
US8426300B2 (en) * 2010-12-02 2013-04-23 International Business Machines Corporation Self-aligned contact for replacement gate devices
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
CN102800592B (zh) * 2011-05-25 2015-01-21 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US8637359B2 (en) 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8673758B2 (en) 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US9490342B2 (en) 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US9129856B2 (en) * 2011-07-08 2015-09-08 Broadcom Corporation Method for efficiently fabricating memory cells with logic FETs and related structure
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9076889B2 (en) * 2011-09-26 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate semiconductor device
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
WO2013101007A1 (en) 2011-12-28 2013-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
CN103531538B (zh) * 2012-07-02 2016-01-06 中芯国际集成电路制造(上海)有限公司 互补型金属氧化物半导体管的形成方法
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
US9093558B2 (en) 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US8896030B2 (en) * 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US20140103404A1 (en) * 2012-10-17 2014-04-17 International Business Machines Corporation Replacement gate with an inner dielectric spacer
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9508716B2 (en) * 2013-03-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor device
US10438856B2 (en) * 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US20150021689A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Asymmetrical replacement metal gate field effect transistor
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9059042B2 (en) * 2013-11-13 2015-06-16 Globalfoundries Inc. Methods of forming replacement gate structures and fins on FinFET devices and the resulting devices
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US9219155B2 (en) * 2013-12-16 2015-12-22 Intel Corporation Multi-threshold voltage devices and associated techniques and configurations
CN104867873B (zh) * 2014-02-21 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
CN104465520A (zh) * 2014-11-26 2015-03-25 上海华力微电子有限公司 一种半导体集成器件制作方法
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9589846B1 (en) * 2016-01-25 2017-03-07 United Microelectronics Corp. Method of forming semiconductor device
US10388576B2 (en) * 2016-06-30 2019-08-20 International Business Machines Corporation Semiconductor device including dual trench epitaxial dual-liner contacts
CN108400116B (zh) * 2017-02-04 2020-10-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063698A (en) 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6060376A (en) 1997-06-30 2000-05-09 Vlsi Technology, Inc. Integrated etch process for polysilicon/metal gate
US6261887B1 (en) 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
US20020197790A1 (en) 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
US6218302B1 (en) * 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
US6140688A (en) 1998-09-21 2000-10-31 Advanced Micro Devices Inc. Semiconductor device with self-aligned metal-containing gate
GB2358737A (en) 1999-03-01 2001-08-01 Nec Corp Methods for manufacturing a complimentary integrated circuit
US6255698B1 (en) 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
KR100338104B1 (ko) 1999-06-30 2002-05-24 박종섭 반도체 소자의 제조 방법
JP3851752B2 (ja) 2000-03-27 2006-11-29 株式会社東芝 半導体装置の製造方法
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
JP2001284466A (ja) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
US6184072B1 (en) 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US6475874B2 (en) 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
KR100387259B1 (ko) 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6410376B1 (en) 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US6365450B1 (en) 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
US6514828B2 (en) 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6573193B2 (en) 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US6797599B2 (en) 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6630741B1 (en) * 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6620713B2 (en) 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US6696345B2 (en) 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6617209B1 (en) 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6617210B1 (en) 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6689675B1 (en) 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696327B1 (en) 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6890807B2 (en) * 2003-05-06 2005-05-10 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7170176B2 (en) * 2003-11-04 2007-01-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7012027B2 (en) * 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7153784B2 (en) * 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Also Published As

Publication number Publication date
US20060008968A1 (en) 2006-01-12
WO2006014401A1 (en) 2006-02-09
KR20070029840A (ko) 2007-03-14
DE112005001593T5 (de) 2007-05-24
US7157378B2 (en) 2007-01-02
CN101010788A (zh) 2007-08-01
TW200625468A (en) 2006-07-16
TWI294650B (en) 2008-03-11
DE112005001593B4 (de) 2009-06-18

Similar Documents

Publication Publication Date Title
CN100524660C (zh) 用于制作具有高k栅介电层和金属栅电极的半导体器件的方法
CN100585832C (zh) 形成具有替代金属栅电极的集成电路
US7153784B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
CN100565811C (zh) 具有在退火的高-k栅介电层上形成的金属栅电极的半导体器件
CN100550350C (zh) 具有金属和硅化物栅电极的cmos器件及其制作方法
CN101027770B (zh) 一种包括金属栅电极的集成电路及制备金属栅电极的方法
CN101027761B (zh) 使半导体结构平坦化以形成替代金属栅
CN100565842C (zh) 包括金属栅电极的半导体器件的制造方法
US7208361B2 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
US20070141798A1 (en) Silicide layers in contacts for high-k/metal gate transistors
CN102867850A (zh) 具有高k栅电介质和金属栅电极的半导体器件
US20060091483A1 (en) Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
WO2005112110A1 (en) A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US20060094180A1 (en) Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US20050266694A1 (en) Controlling bubble formation during etching

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant