CN101606228B - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
CN101606228B
CN101606228B CN2008800040444A CN200880004044A CN101606228B CN 101606228 B CN101606228 B CN 101606228B CN 2008800040444 A CN2008800040444 A CN 2008800040444A CN 200880004044 A CN200880004044 A CN 200880004044A CN 101606228 B CN101606228 B CN 101606228B
Authority
CN
China
Prior art keywords
film
titanium
process chamber
tungsten
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800040444A
Other languages
English (en)
Other versions
CN101606228A (zh
Inventor
若林哲
成嶋健索
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101606228A publication Critical patent/CN101606228A/zh
Application granted granted Critical
Publication of CN101606228B publication Critical patent/CN101606228B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明的成膜方法包括:在层间绝缘膜(520)上和接触孔(530)底部的含硅表面(512)上形成钛膜的钛膜形成工序;将该钛膜全部氮化,形成单一的氮化钛膜(550)的氮化工序;和在氮化钛膜上形成钨膜(560)的钨膜形成工序。由此,能够防止由于钛层的变质而引起的钨膜的剥离,并且能够使阻挡层比现有技术薄,并提高生产率。

Description

成膜方法
技术领域
本发明涉及在半导体晶片、FPD(Flat Panel Display:平板显示器)基板等被处理基板上形成规定的膜的成膜方法、基板处理装置和具有规定的膜结构的半导体装置。 
背景技术
高集成化和高密度化不断发展的近年来的半导体器件具有由多个配线层构成的所谓的多层配线构造。在这样的半导体器件中,为了得到良好的电特性,在用于使硅(Si)基板与配线层电连接的接触孔、和用于使上侧配线层与下侧配线层电连接的通路孔中埋入金属的技术变得更加重要。特别是近年来,出于进一步提高埋入性能等理由,有主要采用CVD(Chemical Vapor Deposition:化学气相沉积)法在接触孔或通路孔中埋入钨(W)的趋势。 
埋入钨后的硅基板10中的一般的接触构造如图10所示。为了得到这样的接触结构,例如首先在硅基板10上的绝缘膜20中对与杂质扩散层12相对应的区域进行蚀刻,从而形成接触孔30。 
接着,在接触孔30内埋入钨。在该情况下,为了提高钨膜的密接性和接触孔30的电特性等,在包括接触孔30内的表面的整个晶片表面上,依次形成钛(Ti)膜40和氮化钛(TiN)膜50,然后在其上形成钨膜60。 
在现有技术中,在设置于基板处理装置的多个处理室中进行这些各个成膜工序。首先,将硅基板10搬送至第一处理室,例如使用四氯化钛(TiCl4)气体和氢(H2)气,采用等离子体CVD法等,在包括接触孔30内的硅基板10的整个表面上形成钛膜40。此时,在杂质扩散层12的表面上形成硅化钛(TiSix)层(未图示)。 
接着,将该硅基板10搬送至第二处理室,例如使用四氯化钛气体和氨(NH3)气,采用热CVD法形成氮化钛膜50。然后,将该硅基板 10搬送至第三处理室,例如使用甲硅烷(SiH4)气体和氢气这两种气体或其中的一种气体与六氟化钨(WF6)气体,采用热CVD法形成钨膜60。由此,在接触孔30内埋入钨。 
这样形成钨膜60时所使用的六氟化钨气体含有与钛等金属显示出高反应性的氟(F),所以如果不形成氮化钛膜50而在钛膜40上直接形成钨膜60,则该钛膜40会被氟蚀刻。即,在钨膜60与钛膜40之间形成的氮化钛膜50作为能够防止氟在钨膜60的形成工序中扩散的阻挡层发挥作用,因此,钛膜40不会受到氟的侵入而保持为良好的状态。 
根据这样的理由,现有技术中在钛膜40上形成有氮化钛膜50。但是,在形成钛膜40后、形成氮化钛膜50之前,对钛膜40的表面例如利用等离子体进行氮化处理。由此,在钛膜40表面(上层部)形成有氮化钛层44,因而能够防止由于随后的例如利用热CVD法的氮化钛膜50的成膜工序中所使用的四氯化钛气体而导致钛膜40的表面受到蚀刻。 
专利文献1:日本特开2003-142425号公报 
专利文献2:日本特表2002-542399号公报 
发明内容
但是,在现有技术中,由于仅将钛膜40的表面氮化,所以在钛膜40上残留有钛层42。因此,如果钛膜40的氮化钛层42和氮化钛膜50没有足够的膜厚,氟的一部分就会通过氮化钛层40和氮化钛膜50而到达钛膜40的钛层,可能会生成脆弱的氟化钛(TiFx)。如果这样生成氟化钛,则氮化钛膜50和钨膜60与基底层的密接性就会劣化,可能从硅基板10的表面剥离。 
近年来,随着半导体器件的高精度化,对提高在基板上形成的元件的电特性等的要求变得强烈,另一方面,提高生产率的要求也目益增高。在这样的背景下,为了提高上述接触孔或通路孔的埋入工序的生产率,进行着各种各样的组合。 
例如在上述专利文献1中,公开了通过使用低工艺温度下的钨膜的形成工序,省略了为了得到充分的膜厚而在现有技术中进行的利用热CVD形成氮化钛膜的工序的技术。具体而言,根据该技术,通过使 用低温的工艺温度下的钨膜的形成工序,能够使阻挡层比现有的阻挡层薄。因此,代替利用热CVD法的氮化钛膜,使对钛膜的表面进行氮化处理而得到的氮化钛膜作为阻挡层发挥作用,能够省略利用热CVD法形成氮化钛膜的工序。此外,在上述专利文献2中,公开了在一个处理室中进行构成阻挡层的钛膜的成膜工序和氮化钛膜的成膜工序的技术。 
但是,在这些技术中,由于只对钛膜的表面进行氮化处理,钛膜中会残留有钛层。因此,如果如上所述钛膜的氮化钛层或氮化钛膜没有足够的膜厚,则氟的一部分就会通过氮化钛层和氮化钛膜而到达钛膜的钛层,生成氟化钛,可能会导致氮化钛膜和钨膜从硅基板的表面剥离。 
因此,本发明是鉴于上述问题而完成的,其目的在于提供一种成膜方法等,首先形成钛膜,将该钛膜全部氮化,从而形成在基底上没有残留钛层的作为阻挡层的单一的氮化钛膜,由此能够防止钨膜的剥离。 
为了解决上述问题,根据本发明的第一观点,提供一种成膜方法,其为对被处理基板进行成膜的方法,该被处理基板具有在含硅表面上形成的绝缘膜、和形成于上述绝缘膜并到达上述含硅表面的孔,该成膜方法的特征在于,包括:在上述绝缘膜上和上述孔底部的上述含硅表面上形成钛膜的钛膜形成工序;将上述钛膜全部氮化,形成单一的氮化钛膜的氮化工序;和在上述氮化钛膜上形成钨膜的钨膜形成工序。 
并且,本发明还提供一种基板处理装置,其特征在于,包括:对于具有在含硅表面上形成的绝缘膜、和形成于上述绝缘膜并到达上述含硅表面的孔的被处理基板形成氮化钛膜的处理室;向上述处理室内至少供给钛化合物气体和还原气体的气体供给单元;在上述处理室内生成等离子体的等离子体生成单元;调整被载置在上述处理室内的上述被处理基板的温度的温度调整单元;和对上述气体供给单元、上述等离子体生成单元和上述温度调整单元的动作进行控制的控制部,其中,上述控制部进行下述工序:在上述绝缘膜上和上述孔底部的上述含硅表面上形成钛膜的钛膜形成工序;和将上述钛膜全部氮化,形成单一的氮化钛膜的氮化工序。 
此外,本发明还提供一种半导体装置,其特征在于,具有:在含硅表面上形成的绝缘膜;形成于上述绝缘膜并到达上述含硅表面的孔;将在上述绝缘膜上和上述孔底部的上述含硅表面上形成的钛膜全部氮化而形成的单一的氮化钛膜;和在上述氮化钛膜上形成的钨膜。 
根据本发明,通过将钛膜全部氮化而得到的单一的氮化钛膜作为对于钨膜的阻挡层发挥作用,并且在该阻挡层下没有残留钛膜,所以能够防止形成钨膜时的氟的扩散,并且,即使氟通过阻挡层,也不会生成氟化钛,因此,能够可靠地防止钨膜的剥离。并且,能够省略在现有技术中进行的利用热CVD形成氮化钛膜的工序。因此,能够以更少的工序完成钨向孔中的埋入。 
在上述钛膜形成工序中,优选向收容有上述被处理基板的处理室内导入钛化合物气体和还原气体,并在该处理室内生成等离子体,形成上述钛膜。由此,只要上述被处理基板的温度为550℃以下的较低的温度,就能够形成阶梯覆盖良好的钛膜。因此,通过将该钛膜全部氮化而得到的单一的氮化钛膜的阶梯覆盖也良好。 
此外,在上述钛膜形成工序中,优选将上述被处理基板调整为第一温度,使得上述含硅表面的硅与上述钛膜的钛发生反应,在上述含硅膜表面上形成钛与硅的合金层(硅化钛层)。如果这样形成合金层,则能够将钨膜与含硅表面间的电阻抑制得较低。在该情况下,优选将上述被处理基板调整为400~550℃。 
在上述钛膜形成工序中形成的上述钛膜的膜厚为6nm以下,优选为5nm以下。通过这样形成较薄的钛膜,能够使将其全部氮化而得到的氮化钛膜也变薄。这样,通过形成薄的氮化钛膜,能够在孔内埋入更多的钨。由于钨的电阻比氮化钛小,因此例如在孔为接触孔的情况下,能够减少接触阻抗。 
可以通过交替反复进行多次上述钛膜形成工序和上述氮化工序,形成氮化钛膜。通过这样,能够将成膜中的被处理基板的温度抑制得更低。结果,能够形成杂质极少且优质的氮化钛膜。 
此外,优选上述钨膜形成工序包括第一钨膜形成工序,在该第一钨膜形成工序中,将上述被处理基板的温度维持为氟与上述合金层的合金(在含硅表面上形成的硅化钛)不发生反应的第二温度,并向收 容有上述被处理基板的处理室内多次交替地导入氟化钨气体和还原气体,形成第一钨膜。根据该方法,能够使得氟的损伤实质上不会到达氮化钛膜下的含硅表面、上述绝缘膜表面的层。因此,上述第二温度例如优选为250~350℃。而且,根据本发明,即使调整为如上上述的较低的第二温度,也能够有效地形成具有良好膜质的第一钨膜。 
优选上述钨膜形成工序还包括第二钨膜形成工序,在该第二钨膜形成工序中,同时向上述处理室内导入上述氟化钨气体和上述还原气体,在上述第一钨膜上形成第二钨膜。通过这样,能够将各膜保持为良好的膜质,并且能够在更短时间内形成钨膜。 
在上述钛膜形成工序之前,可以进行利用含有氟化氢气体的清洁气体除去附着在上述被处理基板上的异物的清洁处理。在该情况下,优选上述清洁处理是通过使上述异物与上述清洁气体反应而生成生成物,并加热上述被处理基板使上述生成物气化的处理。此外,上述清洁处理也可以是使用上述氟化氢气体和水分除去上述异物的处理。 
发明效果 
根据本发明,首先形成钛膜,并将该钛膜全部氮化,从而形成在基底上不残留钛层的作为阻挡层的单一的氮化钛膜,因此能够防止钨膜的剥离。此外,因为能够使阻挡层比现有技术的阻挡层薄,所以能够缩短形成阻挡层所需的时间,并且能够省略现有技术中进行的利用热CVD法形成氮化钛膜的工序,从而能够提高生产率。 
附图说明
图1是表示本发明的实施方式的基板处理装置的结构例的截面图。 
图2是表示图1所示的控制部的结构例的框图。 
图3是表示图1所示的基板处理装置的处理室的结构例的图。 
图4是表示该实施方式的等离子体CVD处理室的结构例的截面图。 
图5是表示该实施方式的热CVD处理室的结构例的截面图。 
图6是表示该实施方式的晶片处理的工序的流程图。 
图7A是用于说明该实施方式的异物除去处理的示意图。 
图7B是用于说明该实施方式的等离子体SFD氮化钛膜形成处理 的示意图。 
图7C是用于说明该实施方式的SFD钨膜形成处理的示意图。 
图8是表示该实施方式的等离子体SFD氮化钛膜形成处理的具体例的时序图。 
图9是表示该实施方式的SFD钨膜形成处理的具体例的时序图。 
图10是表示现有的接触构造的示意图。 
符号说明 
100:基板处理装置;102:共用搬送室;104(104A~104D):处理室;105(105A~105D):载置台;106A~106D:闸阀;107A、107B:闸阀;108(108A、108B):负载锁定室;109(109A、109B):搬送口;110:搬入侧搬送室;112(112A~112C):导入口(port);114:定位器;116:处理室侧搬送机构;116A、116B:拾取器;118:搬入侧搬送机构;118A、118B:拾取器;200:控制部;210:CPU;220:ROM;230:RAM;240:显示单元;250:输入输出单元;260:报知单元;270:各种控制器;280:程序数据存储单元;282:搬送处理程序;284:工艺处理程序;290:设定信息存储单元;292:搬送设定信息;294:工艺处理设定信息;300:等离子体CVD处理室;311:处理室;311G:闸阀;312:基座;313:支承部件;314:引导环;315:加热器;316:下部电极;318:搬入搬出口;319:绝缘部件;320:喷淋头;321:基体部件;322:喷淋板;323:加热器;324:喷出孔;325:气体扩散空间;326:气体导入口;330:气体供给单元;331:四氯化钛气体供给源;331C~334C:质量流量控制器;331L:四氯化钛气体供给线;332:氩气供给源332L:氩气供给线;333:氢气供给源;333L:氢气供给线;334:氨气供给源;334L:氨气供给线;337:气体混合部;338:混合气体供给线;340:加热器电源;341:加热器电源;342:匹配器;343:高频电源;350:排气室;351:排气管;352:排气装置;360:晶片支承销;361:支承板;362:驱动机构;400:热CVD处理室;414:处理室;414G:闸阀;416:喷淋头;420:气体喷射口;422:反射体(reflector);424:保持部件;426:载置台;428:晶片支承销;430:环部件;432:贯通孔;434:轴;436:销孔;438:波 纹管;440:致动器;442:排气口;444:排气装置;451:透过窗;452:加热室;454:加热灯;456:旋转台;458:电机;500:被处理晶片;510:裸硅晶片;512:含硅表面;514:硅化钛层;520:层间绝缘膜;530:接触孔;550:氮化钛膜;560:钨膜;W:晶片。 
具体实施方式
下面参照附图详细说明本发明的优选的实施方式。其中,在本说明书和附图中,对于具有实质上相同的功能结构的构成要素标注相同的符号,从而省略重复说明。 
(基板处理装置的结构例) 
参照附图说明本发明的实施方式的基板处理装置的结构例。图1是表示本实施方式的基板处理装置的一个例子的结构示意图。如图1所示,基板处理装置100具有:形成为大致多角形状(例如六角形状)的共用搬送室(处理室侧搬送室)102;以能够抽真空的方式构成的多个(例如4个)处理室104A~104D;以能够抽真空的方式构成的两个负载锁定室108A、108B;大致长方形的搬入侧搬送室110;用于载置盒的多个(例如3个)导入口112A~112C,该盒能够收容多片作为被处理基板的一个例子的半导体硅晶片(以下简称为“晶片”)W;和使晶片W旋转,采用光学方式求取其偏心量并进行定位的定位器114。 
处理室104A~104D分别通过闸阀106A~106D连结在共用搬送室102的周围。在各处理室104A~104D中设置有载置晶片W的载置台105A~105D。各处理室104A~104D能够分别对被载置在载置台105A~105D上的晶片W实施规定的处理。 
在共用搬送室102内设置有处理室侧搬送机构(真空压侧搬送机构)116,该处理室侧搬送机构116具有用于保持晶片W的两个拾取器116A、116B,并以能够屈伸和旋转的方式构成。搬入侧搬送室110经由两个负载锁定室108A、108B与共用搬送室102连结。负载锁定室108A通过闸阀107A与共用搬送室102和搬入侧搬送室110连接,负载锁定室108B通过闸阀107B与共用搬送室102和搬入侧搬送室110连接。 
其中,共用搬送室102与两个负载锁定室108A、108B内的任一 方,例如与负载锁定室108A的连结部的搬送口109A用作将晶片W搬入共用搬送室102内的搬入口,与另一个负载锁定室108B的连结部的搬送口109B用作将晶片W搬出共用搬送室102外的搬出口。 
在上述搬入侧搬送室110上连结有例如三个导入口112A~112C和定位器114。并且,在搬入侧搬送室110内设置有搬入侧搬送机构(大气压侧搬送机构)118,该搬入侧搬送机构118具有用于保持晶片W的两个拾取器118A、118B,并且以能够屈伸、旋转、升降和直线移动的方式构成。 
而且,控制部200与基板处理装置100连接,利用该控制部200控制基板处理装置100的各部。 
(控制部的结构例) 
参照附图说明基板处理装置100的控制部200的结构例。如图2所示,控制部200包括:CPU(中央处理装置)210;存储有CPU210控制各部的数据等的ROM(Read-Only Memory)220;设置有CPU210进行的各种数据处理所使用的存储器区等的RAM(Random-AccessMemory)230;由显示操作画面或选择画面等的液晶显示器等构成的显示单元240;能够进行操作员的各种数据的输入输出等的输入输出单元250;例如由蜂鸣器这样的警报器等构成的报知单元260;用于控制基板处理装置100的各部的各种控制器270;存储有适用于基板处理装置100的各种程序数据的程序数据存储单元280;和存储有各种设定信息的设定信息存储单元290,该各种设定信息在基于程序数据执行程序处理时使用。程序数据存储单元280和设定信息存储单元290例如由闪存器、硬盘、CD-ROM等存储介质构成,根据需要能够由CPU210读出数据。 
程序数据存储单元280中确保有存储区域,该存储区域例如为用于控制处理室侧搬送机构116和搬入侧搬送机构118的动作的搬送程序282的存储区域、和对各处理室104中的晶片W进行工艺处理时执行的工艺处理程序284的存储区域。 
并且,设定信息存储单元290中确保有存储区域,该存储区域例如存储有处理室侧搬送机构116和搬入侧搬送机构118的接入点的位置坐标等的搬送设定信息292、和工艺处理中的处理室内压力、气体流 量、高频电力等方案数据等的工艺处理设定信息294。 
这些CPU210、ROM220、RAM230、显示单元240、输入输出单元250、报知单元260、各种控制器270、程序数据存储单元280和设定信息存储单元290通过控制总线、系统总线、数据总线等总线电连接。 
(处理室的结构例) 
接着,说明图1所示的基板处理装置100的处理室的结构例。基板处理装置100以能够连续实施异物除去处理、钛膜形成处理、钛膜全氮化处理和钨膜形成处理的方式构成。在异物除去处理中,在水成分和减压的条件下不使用等离子体而除去晶片W上的自然氧化膜等的异物;在钛膜形成处理中,在已实施该异物除去处理后的晶片W的硅表面上形成钛膜;在钛膜全氮化处理中,将钛膜全部氮化,形成氮化钛膜;在钨膜形成处理中,在氮化钛膜上形成钨膜。 
在本实施方式中,例如将处理室104A~104D中的任一室以能够进行异物除去处理的方式构成,将另一室以能够进行钛膜形成处理(包括Ti膜的自整合的硅化处理)和钛膜全氮化处理的方式构成,将又一室以能够进行钨膜形成处理的方式构成。此外,在将异物除去处理分为生成物生成处理(例如COR(Chemical Oxide Removal:化学氧化物去除)处理)和生成物除去处理(例如PHT(Post Heat Treatment:后加热处理)处理)两个阶段进行的情况下,处理室104A~104D中的任两个室分别承担该处理。这样,根据在基板处理装置100中实施的工艺处理的内容构成各处理室104A~104D。 
此处,例如将形成有接触孔的晶片W导入基板处理装置100,对该晶片W连续实施如上所述的作为异物除去处理的COR处理、PHT处理之后,连续实施钛膜形成处理、钛膜全氮化处理和钨膜形成处理时的基板处理装置100的处理室的结构例在图3中表示。 
如图3所示,在本实施方式的基板处理装置100中,处理室104A、104B、104C和104D分别构成为COR处理室、PHT处理室、钛膜形成/钛膜全氮化处理室(以下简称为“钛膜处理室”)和钨膜形成处理室。各处理室104A~104D中的处理分别由控制部200控制。进一步具体而言,控制部200的CPU210从程序数据存储单元280读出需要的工 艺处理程序284,并且从设定信息存储单元290读出作为工艺处理设定信息294的例如工艺方案信息等,实施规定的工艺处理。 
(钛膜处理室的结构例) 
接着,参照附图说明在本实施方式中作为主要的处理室的钛膜处理室的结构例。如上所述,钛膜处理室用于进行形成钛膜的处理和将钛膜全部氮化的处理,作为该钛膜处理室,例如能够使用图4所示的等离子体CVD处理室300。该等离子体CVD处理室300具有由气密地构成的大致圆筒状的处理容器构成的处理室311。 
用于在处理室311中水平支承晶片W的基座312以被设置在其中央下部的圆筒状的支承部件313支承的状态配置。该基座312由氮化铝(AlN)等陶瓷构成,在其外缘部设置有用于引导晶片W的引导环314。 
此外,在基座312中埋入有加热器315,该加热器315通过从加热器电源340供电而将晶片W加热至规定的温度。即,加热器315和加热器电源340构成温度调整单元。在基座312中,下部电极316埋设在加热器315之上,下部电极316例如被接地(未图示)。 
在处理室311的顶壁311A上,隔着绝缘部件319设置有喷淋头320。该喷淋头320由大致划分为上部分的基体部件321和作为下部分的喷淋板322构成。 
在基体部件321中埋设有加热器323,该加热器323能够通过从加热器电源341供电,将喷淋头320加热至规定温度。 
在喷淋板322中形成有用于向处理室311内喷出气体的多个喷出孔324。各喷出孔324与形成在基体部件321和喷淋板322之间的气体扩散空间325连通。在基体部件321的中央部设置有用于将处理气体供给至气体扩散空间325的气体导入口326。气体导入口326与后述的气体供给单元330的混合气体供给线338连接。 
气体供给单元330具有用于供给作为钛化合物气体的四氯化钛气体的四氯化钛气体供给源331、用于供给氩(Ar)气的氩气供给源332、用于供给作为还原气体的氢气的氢气供给源333、和用于供给作为氮化物气体的氨气的氨气供给源334。 
并且,在四氯化钛气体供给源331上连接有四氯化钛气体供给线 331L,在氩气供给源332上连接有氩气供给线332L,在氢气供给源333上连接有氢气供给线333L,在氨气供给源334上连接有氨气供给线334L。在各气体供给线331L~334L上分别设置有质量流量控制器(MFC)331C~334C和夹着该质量流量控制器331C~334C的两个阀331V~334V。 
气体混合部337具有混合上述处理气体并向喷淋头320进行供给的功能,在其气体流入侧经由各气体供给线331L~334L连接有处理气体供给源331~334,在其气体流出侧经由混合气体供给线338连接有喷淋头320。 
在处理时,选自四氯化钛气体、氩气、氢气和氨气中的一种气体或多种气体的混合气体经由喷淋头320的气体导入口326和气体扩散空间325,从多个喷出孔324导入处理室311内。 
这样,本实施方式的喷淋头320构成为预先混合处理气体再向处理室311内供给的所谓的预混合型,但也可以构成为使各处理气体独立向处理室311内供给的后混合型。 
高频电源343经由匹配器342与喷淋头320连接,在成膜时从该高频电源343向喷淋头320供给例如450kHz的高频电力,从而在喷淋头320和下部电极316之间产生高频电场,被供给至处理室311内的处理气体等离子体化,在晶片W上形成钛膜,并且钛膜被氮化。即,喷淋头320、匹配器342、高频电源343和下部电极316构成等离子体生成单元。 
在处理室311的底壁311B的中央部形成有圆形的孔317,在底壁311B上以覆盖该孔317的方式设置有向下方突出的排气室350。在排气室350的侧面连接有排气管351,在该排气管351上连接有排气装置352。而且,通过使该排气装置352动作,能够将处理室311内减压至规定的真空度。 
在基座312上,用于支承晶片W并使其升降的三个(图中仅示出两个)晶片支承销360以能够相对于基座312的表面突出没入的方式设置,这些晶片支承销360被固定在支承板361上。而且,晶片支承销360利用气缸等驱动机构362通过支承板361升降。 
在处理室311的侧壁311C上设置有用于在与共用搬送室102之间 进行晶片W的搬入搬出的搬入搬出口318、和开关该搬入搬出口318的闸阀311G。 
具有这样的结构的等离子体CVD处理室300的整体动作的控制,例如各种处理气体的供给开始和停止的控制、处理气体的流量控制、晶片W的温度控制、处理室311内的压力控制通过上述控制部200进行。在该等离子体CVD处理室300中进行的钛膜形成处理和钛膜全氮化处理的详细情况在后面阐述。 
(钨膜形成处理室的结构例) 
接着,参照附图说明本实施方式的钨膜形成处理室的结构例。作为钨膜形成处理室,例如能够使用图5所示的热CVD处理室400。该热CVD处理室400具有例如由截面大致为圆筒形状的铝制的处理容器构成的处理室414。 
在该处理室414内的天井部设置有喷淋头416。该喷淋头416在下表面形成有多个气体喷射口420,从此处向处理空间S喷射各种处理气体。另外,虽然本实施方式的喷淋头416构成为预先混合处理气体并向处理室414内供给的所谓的预混合型,但也可以构成为使各处理气体独立向处理室414内供给的后混合型。 
在本实施方式中,作为处理气体使用乙硼烷(B2H6)气体、六氟化钨气体、甲硅烷气体、氢气、氮(N2)气、氩气。其中,乙硼烷气体可以利用氢气例如稀释至5%。各处理气体通过包括质量流量控制器这样的流量控制器的气体供给单元(未图示,参照图4的气体供给单元330)被供给至处理室414内。 
在处理室414内,设置有在底部立式设置的圆筒状的反射体422、和用于载置晶片W的载置台426。载置台426通过L字状的三个保持部件424(在图5中仅示出两个)安装在反射体422上。 
在处理室414的侧壁上设置有用于在与共用搬送室102之间搬入搬出晶片W的搬入搬出口418、和开关该搬入搬出口418的闸阀414G。 
在载置台426的下方,配置有多个例如三个L字状的晶片支承销428(在图5中仅示出两个)。各晶片支承销428的前端朝向上方,各晶片支承销428的基端通过形成在反射体422上的插通孔(未图示)与环部件430共通连接。环部件430通过轴434与致动器440连结, 伴随该致动器440的动作上下移动,该轴434以贯通处理室414的底部的贯通孔432的方式设置。当环部件430上下移动时,各晶片支承销428的前端通过形成在载置台426上的销孔436相对于载置台426的表面突出没入。通过这样的动作,被各晶片支承销428支承的晶片W被载置在载置台426上,并且,载置在载置台426上的晶片W被晶片支承销428举起并支承。另外,在轴434所通过的处理室414的底部的贯通孔432处,为了维持处理室414的气密状态,安装有波纹管438。 
在处理室414的底部的周缘部设置有排气口442,在该排气口442上连接有排气装置444。而且,通过使该排气装置444动作,能够将处理室414内减压至规定的真空度。 
在处理室414的底部中载置台426正下方的区域,气密地安装有由石英等透过热线的材料构成的透过窗451,并且,在其下方以包围透过窗451的方式设置有箱状的加热室452。在该加热室452内,作为加热单元的多个加热灯454被安装在兼作为反射镜的旋转台456上。该旋转台456与设置在加热室452底部的电机458的旋转轴连接,随着电机458的动作而旋转。 
从加热灯454放出的热线透过透过窗451,到达载置台426的下表面并对其进行加热。该热量到达载置台426上的晶片W。这样,加热灯454能够间接地加热晶片W。而且,因为旋转台456旋转,所以热线能够照射在载置台426的下表面的更广的范围。从而,实现晶片W的面内温度的均匀化。另外,作为加热单元,也可以采用电阻加热加热器代替加热灯454。 
具有这样的结构的热CVD处理室400的整体动作的控制,例如各种处理气体的供给开始和停止的控制、处理气体的流量控制、晶片W的温度控制和处理室414内的压力控制通过上述控制部200进行。在该热CVD处理室400中进行的钨膜形成处理的具体例子在后面阐述。 
(晶片搬送处理的具体例子) 
在此,说明图3所示结构的基板处理装置100的晶片搬送处理。以共用搬送室102为基点,晶片W被依次搬送至处理室104A、104B、104C、104D进行处理。即,晶片W的搬送路径如图3的实线箭头所 示。 
这种晶片搬送处理由控制部200控制。进一步具体而言,控制部200的CPU210从程序数据存储单元280读出必要的搬送程序282,并且从设定信息存储单元290读出作为搬送设定信息292的例如拾取器116A、116B、118A、118B的接入点(access point)的座标等,并实施规定的搬送处理。 
首先,说明搬入侧搬送室110内的搬送处理。如果在负载锁定室108B内收容有在处理室104D中的处理已完成的晶片W,控制部200通过搬入侧搬送机构118将该处理完成的晶片W搬送至规定的导入口(例如导入口112B)(搬送路径X11),并将其收容在此。 
此外,通过搬入侧搬送机构118将收容在中央的导入口112B中的处理前的晶片W向定位器114搬送(搬送路径X12),在此处进行定位,再次通过搬入侧搬送机构118向负载锁定室108A搬送(搬送路径X13),并使其在此处待机。控制部200在每次进行晶片W的处理时反复实施以上的搬送控制。 
接着,说明在共用搬送室102内的晶片W的搬送处理。首先,控制部200通过处理室侧搬送机构116去取出收容在处理室104D中的处理完成的晶片W,将其放置于空状态的负载锁定室108B内(搬送路径Y11)。 
接着,通过处理室侧搬送机构116去取出收容在处理室104C内的处理完成的晶片W,并将其搬入空状态的处理室104D内(搬送路径Y12),开始处理室104D内的规定的处理,例如钨膜形成处理。 
接着,通过处理室侧搬送机构116去取出收容在处理室104B内的处理完成的晶片W,并将其搬入空状态的处理室104C内(搬送路径Y13),开始处理室104C内的规定的处理,例如钛膜形成处理。 
进一步,通过处理室侧搬送机构116去取出收容在处理室104A内的处理完成的晶片W,并将其搬入空状态的处理室104B内(搬送路径Y14),开始处理室104B内的规定的处理,例如PHT处理。 
并且,通过处理室侧搬送机构116去取出在负载锁定室108A内待机的处理前的晶片W,并将其搬入空状态的处理室104A内(搬送路径Y15),开始该处理室104A内的规定的处理,例如COR处理。 
其中,在晶片W的搬入搬出时,控制部200仅使各闸阀106A~106D、107A、107B中的晶片W的搬入搬出所必需的闸阀开关。而且,控制部200在每次各处理室104A~104D中的晶片W的处理完成时反复实施以上的搬送控制。 
(晶片处理的具体例子) 
接着,参照附图说明上述本实施方式的基板处理装置100所进行的对被处理晶片的处理。图6是表示本实施方式的晶片处理的工序的流程图。此外,图7A~图7C是表示每个工序的被处理晶片500的膜结构的截面图。 
基板处理装置100对例如具有图7A所示的膜结构的被处理晶片500进行处理。对于被处理晶片500,在裸硅晶片510上形成氧化硅(SiO2)膜等层间绝缘膜520,通过蚀刻形成接触孔530,使含硅表面512从接触孔530的底部露出。其中,也可以预先在裸硅晶片510上形成杂质扩散层或硅化物层,使这些层的表面作为含硅表面露出。作为硅化物层,例如能够举出硅化钴(CoSix)层或硅化镍(NiSix)层。此外,也可以将多晶硅(poly-Si)膜表面作为含硅表面,在此形成硅化钛层。 
首先,在图6所示的步骤S100中,进行除去含硅表面512上的异物(例如蚀刻残渣等的污染物、颗粒、自然氧化膜等)的异物除去处理。由此,含硅表面512成为没有自然氧化膜等异物的平坦且均匀的面。在现有技术中,作为该异物除去处理,实施利用氩等离子体溅射的蚀刻。这是通过施加在硅晶片上的偏压对利用等离子体离子化后的氩离子进行加速,对包括附着在硅晶片上的自然氧化膜的异物进行溅射蚀刻的技术。但是,近年来随着半导体器件的微细化,接触孔的形状也变得微细,使用氩等离子体溅射的方法难以从接触孔底部除去异物。 
因此,在本实施方式中,在减压条件下实施不使用等离子体的异物除去处理。该异物除去处理例如包括下述两个阶段的处理:生成物生成处理,在该处理中,使包括附着在硅晶片上的自然氧化膜的异物与气体成分发生化学反应,生成生成物;和生成物除去处理,在该处理中,通过热处理除去在硅晶片上生成的生成物。 
在本实施方式中,在处理室104A中进行作为生成物生成处理的COR处理,与此接续,在处理室104B中进行作为生成物除去处理的PHT处理。通过COR处理,附着在硅晶片上的异物例如自然氧化膜等氧化膜与例如氨气和氟化氢(HF)气体等气体分子发生化学反应,生成生成物(主要是(NH4)2SiF6)。而且,通过PHT处理,已实施COR处理后的硅晶片被加热,通过COR处理的化学反应在硅晶片上生成的生成物气化(升华),从硅晶片除去。 
这样,在本实施方式中,由于在减压条件下实施不使用等离子体的异物除去处理,在下面接续进行的钛膜形成处理中,能够提高膜的紧贴性、强度。此外,由于在本实施方式的异物除去处理中不使用等离子体,因此由等离子体引起的充电损伤不会对作为钛膜的基底的含硅表面512造成影响,能够防止含硅表面512被切削。因此,不会损害含硅表面512的平滑性,结果能够形成低阻抗的接触。 
接着,将被处理晶片500不曝露在大气中地搬送到处理室104C,在步骤S110中,对被处理晶片500进行钛膜形成处理和钛膜全氮化处理。由此,如图7B所示,以覆盖含硅表面512和层间绝缘膜520的表面的方式形成氮化钛膜550。该氮化钛膜550的膜厚为6nm以下,优选为5nm以下。其中,在该步骤S110的处理中,使钛堆积在含硅表面512上时,如果将被处理晶片500调整为例如400~550℃,则该钛与含硅膜512中的硅反应,自整合地形成硅化钛层514。 
在该步骤S110中,为了形成氮化钛膜550,可以采用等离子体SFD(Sequential Flow Deposition)法,在该方法中,反复进行数次形成薄的钛膜并将其氮化的工序,从而形成氮化钛膜550。在利用该等离子体SFD法形成氮化钛膜550的处理(以下称为“等离子体SFD氮化钛膜形成处理”)中,例如,以同时期向处理室104C供给钛化合物气体、氩气和氢气并生成等离子体从而形成薄的钛膜的工序,和同时期向处理室104C供给氨气、氩气和氢气并生成等离子体从而将该薄的钛膜全部氮化的氮化工序作为一个循环,多次反复进行该循环,直至氮化钛膜达到规定的膜厚。 
根据这样的本实施方式的等离子体SFD氮化钛膜形成处理,如图7B所示,在含硅表面512和层间绝缘膜520的表面,不隔着钛膜地直 接形成覆盖这些表面的氮化钛膜550。 
此外,根据本实施方式的等离子体SFD氮化钛膜形成处理,能够将工艺温度(被处理晶片500的温度)设定为较低的温度范围,例如400~550℃以下的温度范围。因此,能够减少半导体器件的热量预算。因此,能够降低氮化钛膜550和硅化钛层514中所含的氯等杂质的浓度,能够维持优质的膜。本实施方式的等离子体SFD氮化钛膜形成处理的具体的工艺方案在后面阐述。 
此外,为了形成氮化钛膜550,也可以采用下述方法:在采用通常的等离子体CVD法或等离子体SFD法形成约5nm膜厚的钛膜之后,利用等离子体将该钛膜的全部氮化的方法。 
接着,将被处理晶片500不曝露在大气中地搬送到处理室104D,在步骤S120中,对被处理晶片500进行钨膜形成处理。由此,如图7C所示,在氮化钛膜550上形成钨膜560,在接触孔530内埋入钨。 
在该步骤S120中,优选采用多次反复形成薄的钨膜的工序而形成钨膜560的SFD法。在该利用SFD法形成钨膜560的处理(以下称为“SFD钨膜形成处理”)中,例如,交替地反复向处理室104D中供给作为还原气体的甲硅烷气体和作为含钨气体的六氟化钨气体。 
通过该SFD钨膜形成处理,能够将工艺温度设定为较低的温度范围,例如250~350℃的温度范围。因此,能够抑制由于六氟化钨气体分解而产生的氟的活化,在如上所述氮化钛膜550的膜厚例如薄至5nm的情况下,也能够使得氟不通过该氮化钛膜550。本实施方式的SFD钨膜形成处理的具体的工艺方案在后面阐述。 
如上所述,通过本实施方式的晶片处理,在含硅表面512上形成硅化钛层514,并且在被处理晶片500的接触孔530内和层间绝缘膜520的上表面上不隔着钛膜地形成作为阻挡层的薄的氮化钛膜550。而且,通过氮化钛膜550,能够不对基底造成损伤地形成钨膜560,接触孔530被钨掩埋。 
(等离子体SFD氮化钛膜形成处理的具体例子) 
进一步详细地说明上述各工艺处理中作为本发明的主要工艺处理的SFD氮化钛膜形成处理和SFD钨膜形成处理。 
首先,参照附图说明等离子体SFD氮化钛膜形成处理。如上所述, 该等离子体SFD氮化钛膜形成处理用于在被处理晶片500上形成氮化钛膜550,在等离子体CVD处理室300(处理室104C)中进行。该等离子体SFD氮化钛膜形成处理的具体例子表示在图8中。 
在进行等离子体SFD氮化钛膜形成处理时,从加热器电源340向埋入于等离子体CVD处理室300的基座312中的加热器315供给电力,将被处理晶片500的温度例如调整为450℃。然后,在期间P11(气体稳定化工序)中,向等离子体CVD处理室300内供给四氯化钛气体(钛化合物气体)、氢气(还原气体)和氩气。此时,将四氯化钛气体的流量例如调整为12sccm,将氢气的流量例如调整为4000sccm,将氩气的流量例如调整为1600sccm。其中,该期间P11的主要目的在于在下一期间P12之前使处理室内的处理气体稳定化。期间P11的时间例如为0~2秒。 
接着,在期间P12中,接续期间P11以相同流量向处理室内供给四氯化钛气体、氢气和氩气,在这种状态下向喷淋头(上部电极)320施加例如800W的高频电力,在处理室内形成等离子体。由此,钛堆积在含硅表面512和层间绝缘膜520上。其中,已在含硅表面512上堆积的钛与裸硅晶片510中的硅反应而形成硅化物。期间P12的时间例如为4秒。 
接着,停止四氯化钛气体的供给,从期间P12的状态向期间P13过渡。在该期间P13中,以与期间P12相同的流量向处理室内供给氢气和氩气,使它们在处理室内等离子体化。由此,在前一期间P12中堆积的钛被等离子体退火。期间P13的时间例如为5秒。 
接着,使等离子体消失,从期间P13的状态向期间P14过渡。该期间P14是直到下一期间P15开始的等待时间,该时间例如为1秒。 
通过实施以上的期间P11~P14的处理,形成极薄的钛膜。其中,在期间P11~P14中,也可以不向处理室导入氩气。 
接着,在期间P15中,将通过期间P11~P14的处理形成的钛膜全部氮化,形成极薄的氮化钛膜。此处,向处理室内供给氢气、氩气和氨气(氮化物气体),并且向喷淋头(上部电极)320施加例如800W的高频电力,再次在处理室内形成等离子体。此时将氢气的流量例如调整为2000sccm,将氩气的流量例如调整为1600sccm,将氨气的流量 例如调整为1500sccm。期间P15的时间例如为2秒。 
在下一期间P16中使等离子体消失,并且停止向处理室内的氨气的供给。对于氢气和氩气,调整为与期间P15时相同的流量,利用这些气体吹扫残留在处理室内的氨气。由此,防止在下一循环的期间P11中,供给至处理室内的四氯化钛气体与残留的氨气混合,能够形成更优质的氮化钛膜。该期间P16的时间例如为2秒。 
将以上期间P11~P16的处理作为一个循环,反复进行该循环直至氮化钛膜550达到规定的膜厚,例如达到5nm。 
(SFD钨膜形成处理) 
接着,参照附图说明SFD钨膜形成处理。如上所述,该SFD钨膜形成处理用于在被处理晶片500上形成钨膜560,在热CVD处理室400(处理室104D)中进行。该SFD钨膜形成处理的具体例子表示于图9。 
在进行SFD钨膜形成处理时,利用热CVD处理室400的加热灯454加热载置台426上的被处理晶片500。其中,此时的晶片温度(工艺温度)调整为比现有技术的一般的热CVD处理中的工艺温度400~450℃左右低的250~350℃左右。此外,处理室内压力(工艺压力)调整为100~1000Pa左右。而且,在期间P21中,向热CVD处理室400内供给六氟化钨气体。此时,将六氟化钨气体的流量调整为10~30sccm。此外,在该期间P21中,向热CVD处理室400内,与上述六氟化钨气体一同供给氩气或氮气作为载气。期间P21的时间例如为0.5~5秒。 
接着,停止六氟化钨气体的供给,从期间P21的状态向期间P22过渡。该期间P22是直到下一期间P23开始的等待时间,该时间例如为0.5~3.0秒。在该期间P22中,优选继续向热CVD处理室400内供给氩气或氮气作为吹扫气体。 
接着,在期间P23中,向热CVD处理室400内供给甲硅烷气体。此时,将甲硅烷的流量调整为50~100sccm。此外,在该期间P23中,向热CVD处理室400内,与上述甲硅烷气体一同供给氩气或氮气作为载气。期间P23的时间例如为0.5~5秒。另外,作为还原气体,可以使用乙硅烷(Si2H6)气体、乙硼烷气体等代替该甲硅烷气体。 
接着,停止甲硅烷气体的供给,从期间P23的状态向期间P24过 渡。该期间P24是直到下一循环的期间P21开始的等待时间,该时间例如为0.5~3.0秒。在该期间P24中,优选继续向热CVD处理室400内供给氩气或氮气作为吹扫气体。 
通过实施以上的期间P21~P24的处理,形成极薄的钨膜。而且,将期间P21~P24的处理作为一个循环,反复进行该循环直至钨膜560达到规定的膜厚、接触孔530被钨掩埋。 
这样,通过本实施方式的SFD钨膜形成处理,交替地反复向处理室内供给六氟化钨气体和甲硅烷气体,叠层极薄的钨膜,从而形成钨膜560。因此,即使为远低于现有技术的一般的热CVD处理时的工艺温度400~450℃的250~350℃,也能够形成特性良好的钨膜560。 
但是,通过SFD钨膜形成处理,如上所述在一个循环中堆积的钨的量极少,因此如果要形成厚度例如为200~300nm的钨膜,则需要很长的工艺时间,生产能力下降。于是,为了防止这种生产能力的下降,在上述SFD钨膜形成处理之后,可以进行能够得到高成膜速率的第二钨膜形成处理。 
在该第二钨膜形成处理中,例如,使工艺温度上升至400~450℃左右,将工艺压力调整为2000~20000Pa左右。并且,将作为还原气体的甲硅烷替换为氢气,与载气一同将该氢气和六氟化钨气体同时且连续地向处理室供给。此时,使氢气的流量例如为300~3000sccm左右,将六氟化钨气体的流量调整为30~300sccm左右。通过使用这样的工艺方案,能够以高成膜速率例如 
Figure G2008800040444D00201
形成钨膜560。 
如上所述,根据本实施方式的成膜处理,通过等离子体SFD氮化钛膜形成处理形成薄的钛膜,并将其氮化,由此形成厚度例如5nm的氮化钛膜550。此时,由于钛膜很薄,所以能够不残留钛膜地将其全部可靠地氮化。这样,根据本实施方式,可能会与用于形成钨膜560使用的六氟化钨气体中所含的氟活泼地发生反应的钛膜不存在于氮化钛膜550之下,因此,能够防止氮化钛膜550和钨膜560从基底膜剥离。 
此外,根据本实施方式,能够以250~350℃左右的低工艺温度形成钨膜560,并对接触孔530进行钨的埋入。只要是这样的低工艺温度,就能够抑制氟的活化,即使氮化钛膜550薄至5nm左右,该氮化钛膜550也能够作为防止氟的扩散的阻挡层充分地发挥作用。因此,氟不会 侵入氮化钛膜550的下层,即硅化钛层514和层间绝缘膜520,能够将它们的膜质保持为良好的状态。 
此外,根据本实施方式,能够通过薄的氮化钛膜550得到充分的阻挡功能,因此不需要现有技术那样的厚的氮化钛膜。从而,能够省略为了形成厚的氮化钛膜而在现有技术中必需的处理室,因此能够降低半导体处理装置的成本。此外,由于能够省略用于形成厚的钛膜的工序,所以能够提高制造生产能力、提高生产率。 
并且,如本实施方式所示,只要能够形成使氮化钛膜550的膜厚例如薄至5nm的结构,就能够在接触孔530中埋入更多的钨。因此,与在接触孔内存在厚钛膜的现有技术相比,根据本实施方式的半导体装置的膜构造,能够使接触的水平方向的截面中钨所占的比例增大。因为钨的电阻远小于氮化钛,所以能够实现接触的低阻抗化。特别是如果半导体装置的微细化不断发展,接触孔的直径变得更小,则省略了钛膜和厚的氮化钛膜的本实施方式的半导体装置的膜构造对于降低接触阻抗是极为有效的。 
另外,上述各处理室104A~104D的结构并不限于图3所示的结构,例如各处理室104A~104D中的任一处理室都可以构成为COR处理室、PHT处理室、钛膜处理室、钨膜形成处理室。此外,被处理晶片500的搬送顺序,只要按照各处理室104A~104D中的COR处理室、PHT处理室、钛膜处理室、钨膜形成处理室的顺序进行搬送,则并非必须是处理室104A~104D的顺序。 
通过上述实施方式详细阐述的本发明,可以适用于由多个机器构成的系统,也可以适用于由一个机器构成的装置。将存储有用于实现上述实施方式的功能的软件的程序的存储介质等介质供给至系统或装置,该系统或装置的计算机(或CPU或MPU)读取存储在存储介质等介质中的程序并执行,由此也能够完成本发明。 
在该情况下,从存储介质等介质中读出的程序本身实现上述实施方式的功能,存储有该程序的存储介质等介质构成本发明。作为用于供给程序的存储介质,例如能够使用软(Floppy,注册商标)盘、硬盘、光盘、光磁盘、CD-ROM、CD-R、CD-RW、DVD-ROM、DVD-RAM、DVD-RW、DVD+RW、磁带、非易失性存储卡或ROM等。此外,程 序的供给介质包括因特网或局域网等电通信回线。 
另外,本发明包括不仅通过执行计算机读出的程序实现上述实施方式的功能的情况,也包括基于该程序的指示,在计算机上运行的操作系统等进行实际处理的一部分或全部,通过该处理实现上述实施方式的功能的情况。 
本发明还包括:从存储介质等介质读出的程序被写入插入计算机的功能扩展板或与计算机连接的功能扩展单元所具有的存储器之后,基于该程序的指示,该功能扩展板或功能扩展单元所具备的CPU等进行实际处理的一部分或全部,通过该处理实现上述的实施方式的功能的情况。 
以上参照附图说明了本发明的优选的实施方式,但本发明并不限于这些例子。可以明确只要是本领域的技术人员,就能够在权利要求所记载的范畴内想到各种变更例或修正例,应该理解这些当然也属于本发明的技术范围。 
例如,上述实施方式中,以使用四氯化钛气体作为钛化合物气体的情况为例进行了说明,但并不限定于此,也可以采用其他钛化合物气体。例如可以使用作为有机钛的TDMAT(二甲氨基钛)、TDEAT(二乙氨基钛)等。 
产业上的可利用性 
本发明能够适用于在半导体晶片、FPD基板等被处理基板上形成规定膜的成膜方法、基板处理装置和具有规定的膜构造的半导体装置。 

Claims (10)

1.一种成膜方法,其为对被处理基板进行成膜的方法,该被处理基板具有在含硅表面上形成的绝缘膜、和形成于所述绝缘膜并到达所述含硅表面的孔,该成膜方法的特征在于,包括:
形成单一的氮化钛膜的工序;和
在所述氮化钛膜上形成钨膜的工序,
所述形成单一的氮化钛膜的工序将以下的处理作为1个循环,并将这些处理反复进行多个循环,由此形成单一的氮化钛膜,其中,所述处理为
向收容有所述被处理基板的处理室内一边导入还原气体一边导入钛化合物气体,生成等离子体,由此在所述绝缘膜上和所述孔底部的所述含硅表面上形成钛膜的处理,
向所述处理室内一边导入所述还原气体和氩气一边导入氨气,生成等离子体,由此将所述钛膜全部氮化的处理和
使等离子体消失,并且停止向处理室内的氨气的供给,对于还原气体和氩气,调整为与将所述钛膜全部氮化的处理时相同的流量,利用这些气体对残留在所述处理室内的所述氨气进行吹扫的处理。
2.如权利要求1所述的成膜方法,其特征在于:
在所述形成钛膜的处理中,将所述被处理基板调整为第一温度,使得所述含硅表面的硅与所述钛膜的钛发生反应,在所述含硅膜表面上形成合金层。
3.如权利要求2所述的成膜方法,其特征在于:
所述第一温度为400~550℃。
4.如权利要求1~3中任一项所述的成膜方法,其特征在于:
通过1个循环的所述形成钛膜的处理形成的所述钛膜的膜厚比5nm薄。
5.如权利要求2所述的成膜方法,其特征在于:
所述钨膜形成工序包括第一钨膜形成工序,在该第一钨膜形成工序中,将所述被处理基板的温度维持为氟与所述合金层的合金不发生反应的第二温度,并向收容有所述被处理基板的处理室内多次交替地导入氟化钨气体和还原气体,形成第一钨膜。
6.如权利要求5所述的成膜方法,其特征在于:
所述第二温度为250~350℃。
7.如权利要求5或6所述的成膜方法,其特征在于:
所述钨膜形成工序还包括第二钨膜形成工序,在该第二钨膜形成工序中,同时向所述处理室内导入所述氟化钨气体和所述还原气体,在所述第一钨膜上形成第二钨膜。
8.如权利要求1所述的成膜方法,其特征在于:
在所述氮化钛膜形成工序之前,进行利用含有氟化氢气体的清洁气体除去附着在所述被处理基板上的异物的清洁处理。
9.如权利要求8所述的成膜方法,其特征在于:
所述清洁处理是通过使所述异物与所述清洁气体反应而生成生成物,并加热所述被处理基板使所述生成物气化的处理。
10.如权利要求8或9所述的成膜方法,其特征在于:
所述清洁处理是使用所述氟化氢气体和水分除去所述异物的处理。
CN2008800040444A 2007-02-05 2008-01-23 成膜方法 Active CN101606228B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007025876A JP2008192835A (ja) 2007-02-05 2007-02-05 成膜方法,基板処理装置,および半導体装置
JP025876/2007 2007-02-05
PCT/JP2008/050863 WO2008096599A1 (ja) 2007-02-05 2008-01-23 成膜方法,基板処理装置,および半導体装置

Publications (2)

Publication Number Publication Date
CN101606228A CN101606228A (zh) 2009-12-16
CN101606228B true CN101606228B (zh) 2012-08-22

Family

ID=39681510

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800040444A Active CN101606228B (zh) 2007-02-05 2008-01-23 成膜方法

Country Status (5)

Country Link
JP (1) JP2008192835A (zh)
KR (1) KR101102739B1 (zh)
CN (1) CN101606228B (zh)
TW (1) TW200849348A (zh)
WO (1) WO2008096599A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010093116A (ja) * 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
JP5431752B2 (ja) * 2009-03-05 2014-03-05 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2011100962A (ja) * 2009-10-09 2011-05-19 Tokyo Electron Ltd 成膜方法及びプラズマ処理装置
CN102315156A (zh) * 2010-07-08 2012-01-11 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
JP7023150B2 (ja) * 2018-03-26 2022-02-21 東京エレクトロン株式会社 タングステン膜の成膜方法及び制御装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0598913A (ja) * 1991-10-11 1993-04-20 Fuji Oozx Kk ローラロツカアーム
JPH09246212A (ja) * 1996-03-07 1997-09-19 Sony Corp バリア層の形成方法、およびこれにより形成されたバリア層を有する半導体装置
JP3374322B2 (ja) * 1996-10-01 2003-02-04 東京エレクトロン株式会社 チタン膜及びチタンナイトライド膜の連続成膜方法
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
KR100319614B1 (ko) * 1999-04-08 2002-01-05 김영환 반도체 소자의 배선 형성 방법
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP2005050859A (ja) * 2003-07-29 2005-02-24 Renesas Technology Corp 半導体装置の製造方法
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
JP4945937B2 (ja) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
JP特开2003-193233A 2003.07.09
JP特开2006-253634A 2006.09.21
JP特开2007-9298A 2007.01.18
JP特开平9-246212A 1997.09.19

Also Published As

Publication number Publication date
TW200849348A (en) 2008-12-16
KR20090099082A (ko) 2009-09-21
JP2008192835A (ja) 2008-08-21
CN101606228A (zh) 2009-12-16
KR101102739B1 (ko) 2012-01-05
WO2008096599A1 (ja) 2008-08-14

Similar Documents

Publication Publication Date Title
CN101346802B (zh) 成膜方法和基板处理装置
US11901227B2 (en) Feature fill with nucleation inhibition
US10916434B2 (en) Feature fill with multi-stage nucleation inhibition
US8053365B2 (en) Methods for forming all tungsten contacts and lines
JP4174424B2 (ja) 一連の堆積技術を用いる耐火性金属層を堆積する方法
JP5046506B2 (ja) 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
JP2019521518A (ja) 金属及び金属含有化合物の酸化体積膨張
US20190326168A1 (en) Tungsten feature fill with nucleation inhibition
JP2016058727A (ja) 自己整合コンタクト方式のための犠牲プレメタル誘電体
US11987876B2 (en) Chamfer-less via integration scheme
CN101606228B (zh) 成膜方法
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
JP2002110572A (ja) マルチデポジションsacvdリアクタ
KR101678266B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
CN101397653B (zh) 金属膜成膜方法
TWI750364B (zh) 形成鈦矽化物區域之方法
CN111540675A (zh) 半导体制造装置及半导体装置的制造方法
US20230377953A1 (en) Substrate processing method and substrate processing apparatus
US20230083577A1 (en) Recessed metal etching methods
JPWO2020189373A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20230044935A (ko) 기판 처리 방법 및 기판 처리 시스템
JP2009044088A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant