CN101604658A - 半导体器件的制造方法 - Google Patents

半导体器件的制造方法 Download PDF

Info

Publication number
CN101604658A
CN101604658A CNA2009101454890A CN200910145489A CN101604658A CN 101604658 A CN101604658 A CN 101604658A CN A2009101454890 A CNA2009101454890 A CN A2009101454890A CN 200910145489 A CN200910145489 A CN 200910145489A CN 101604658 A CN101604658 A CN 101604658A
Authority
CN
China
Prior art keywords
interlayer dielectric
wiring
film
manufacture method
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009101454890A
Other languages
English (en)
Other versions
CN101604658B (zh
Inventor
笹原丽红
田村纯
田原慈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101604658A publication Critical patent/CN101604658A/zh
Application granted granted Critical
Publication of CN101604658B publication Critical patent/CN101604658B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种具有相对介电常数大约为1的层间绝缘层的半导体器件的制造方法,能够实现以良好的形状形成布线,并且/或者实现在形成气隙后也能够抑制布线变质。具备相对介电常数大约为1的层间绝缘层的半导体器件的制造方法,包括以下至少任意一个工序:在将气隙(7)形成在使布线(6)之间绝缘的层间绝缘膜(2)上之前对层间绝缘膜(2)进行疏水改性处理的工序;和在将气隙(7)形成在使布线(6)之间绝缘的层间绝缘膜(2)上之后对布线(6)进行疏水改性处理的工序。

Description

半导体器件的制造方法
技术领域
本发明涉及一种半导体器件的制造方法,尤其涉及一种具有相对介电常数大约为1的层间绝缘层的半导体器件的制造方法。
背景技术
为了谋求半导体器件的高集成化,图案的精细化不断进展。但是,若图案逐渐精细化,则布线间的距离变小。若布线间的距离减小,则布线间的电容C增大,信号延迟变得明显。信号延迟τ用下述式(1)表示。
τ=R×C..  ..(1)
在式(1)中,τ表示信号延迟,R表示布线的电阻,C表示布线间的电容。
从式(1)可以看出,对于减小信号延迟τ,如何减小布线间的电容C很重要。
为了减小布线间的电容C而不增大布线间的距离,减小布线间的层间绝缘膜的相对介电常数是理想的。具有代表性的层间绝缘膜的例子是SiO2。SiO2的相对介电常数大约为4。因此,使用相对介电常数小于4的绝缘膜、也就是所谓的低介电常数膜(在本说明书中,以下称为Low-k膜)作为层间绝缘膜是一个解决方法。
此外,另外一个解决方法是,由于真空的相对介电常数为1,因此,从布线间去除层间绝缘膜,在布线间形成气隙(例如,专利文献1、2)。
通过在布线间形成气隙,使布线间的绝缘层的相对介电常数无限接近于1。
[专利文献1]:日本特开2000-208622号公报
[专利文献2]:日本特开2007-74004号公报
但是,若做成在布线间形成气隙且具有相对介电常数大约为1的层间绝缘层的半导体器件,则由于布线间没有像层间绝缘膜那样的固形物,因此,如何控制布线形状成为一个课题。
另外,由于在形成气隙后露出布线,因此,如何抑制露出的布线被氧化等的布线变质成为一个课题。
发明内容
本发明的目的在于提供一种具有相对介电常数大约为1的层间绝缘层的半导体器件的制造方法,以及使该制造方法在半导体制造装置中得以实施的存储介质,其中,所述制造方法能够实现以良好的形状形成布线,并且/或者实现在形成气隙后也能够抑制布线变质。
为了解决上述问题,本发明第一方面涉及一种具有相对介电常数大约为1的层间绝缘层的半导体器件的制造方法,包括以下工序中的至少任意一个工序:在将气隙形成在使布线间绝缘的层间绝缘膜上之前对所述层间绝缘膜进行疏水改性处理的工序;和在将气隙形成在使布线间绝缘的层间绝缘膜上之后对所述布线进行疏水改性处理的工序。
本发明第二方面涉及一种半导体器件的制造方法,包括:在衬底上形成层间绝缘膜的工序;在所述层间绝缘膜上形成埋入布线的槽和/或孔的工序;对形成了所述槽和/或孔的层间绝缘膜进行疏水改性处理的工序;在进行了所述疏水改性处理后的所述层间绝缘膜的所述槽和/或孔中埋入布线的工序;和在埋入了所述布线的所述层间绝缘膜上形成气隙的工序。
本发明第三方面涉及一种半导体器件的制造方法,包括:在衬底上形成埋入了布线的层间绝缘膜的工序;在埋入了所述布线的所述层间绝缘膜上形成气隙的工序;对形成了所述气隙的所述层间绝缘膜和/或所述布线进行疏水改性处理的工序。
本发明第四方面涉及一种半导体器件的制造方法,包括:在衬底上形成层间绝缘膜的工序;在所述层间绝缘膜上形成牺牲膜的工序;在所述牺牲膜上形成埋入布线的槽和/或孔的工序;对形成了所述槽和/或孔的牺牲膜进行疏水改性处理的工序;在所述牺牲膜的所述槽和/或孔中埋入布线的工序;和从所述层间绝缘膜上去除所述牺牲膜的工序。
本发明第五方面涉及一种半导体器件的制造方法,其特征在于,包括:在层间绝缘膜上形成埋入了布线的牺牲膜的工序;从所述层间绝缘膜上去除所述牺牲膜的工序;和对除去了所述牺牲膜的所述层间绝缘膜和/或所述布线进行疏水改性处理的工序。
本发明第六方面涉及一种存储介质,存储在计算机上运行且控制半导体制造装置的程序,在运行上述程序时,通过计算机控制上述半导体制造装置,由此实施上述第一至第五方面的半导体器件的制造方法。
附图说明
图1为表示本发明实施方式第一例的半导体器件制造方法的剖视图。
图2为表示本发明实施方式第一例的半导体器件制造方法的剖视图。
图3为表示由第一例的制造方法获得的效果的剖视图。
图4为表示由第一例的制造方法获得的效果的剖视图。
图5为表示按照本发明实施方式第二例的半导体器件制造方法形成的半导体器件的剖视图。
图6为表示本发明实施方式第二例的半导体器件制造方法的剖视图。
图7为表示由第二例的制造方法获得的效果的剖视图。
图8为表示按照本发明实施方式第三例的半导体器件制造方法形成的半导体器件的剖视图。
图9为表示由第三例的制造方法获得的效果的剖视图。
图10为表示本发明实施方式第三例的半导体器件制造方法的剖视图。
图11为表示本发明实施方式第三例的半导体器件制造方法的剖视图。
图12为表示本发明实施方式第四例的半导体器件制造方法的剖视图。
图13为表示本发明实施方式第四例的半导体器件制造方法的剖视图。
图14为表示本发明实施方式第五例的半导体器件制造方法的剖视图。
图15为表示本发明实施方式第五例的半导体器件制造方法的剖视图。
图16为表示本发明实施方式第六例的半导体器件制造方法的剖视图。
图17为表示本发明实施方式第六例的半导体器件制造方法的剖视图。
图18为表示本发明实施方式第六例的半导体器件制造方法的剖视图。
图19为表示用于本发明实施方式的半导体器件制造方法的半导体器件制造系统示意结构的说明图。
图20为表示图19所示半导体器件制造系统使用的蚀刻/灰化/恢复处理装置示意结构的俯视图。
图21为表示由蚀刻/灰化/恢复处理装置搭载的蚀刻单元的示意剖视图。
图22为表示由蚀刻/灰化/恢复处理装置搭载的硅烷化处理单元的示意剖视图。
标号说明
1衬底
2层间绝缘膜
3光致抗蚀剂图案
4槽和/或孔
5损伤层
6布线
7气隙
8氧化层
9损伤层
10层间绝缘膜
11光致抗蚀剂图案
12上层布线
13牺牲膜
14硬掩膜图案
15槽和/或孔
16多层牺牲膜
16a能被除去的膜
16b能使流体通过的膜
具体实施方式
下面,参照附图对本发明的一个实施方式进行说明。在参照的所有附图中,相同部分采用相同参考标号。
本发明实施方式的半导体器件制造方法是具有相对介电常数大约为1的层间绝缘层的半导体器件、即具有气隙的半导体器件的制造方法。基本上,该制造方法至少包括下述工序中的至少任意一个工序:在为了形成气隙而从布线间去除使布线间绝缘的层间绝缘膜之前对上述层间绝缘膜进行疏水改性处理的工序;和在为了形成气隙而从布线间去除使布线间绝缘的层间绝缘膜之后对该布线进行疏水改性处理的工序。
通过在为了形成气隙而从布线间去除层间绝缘膜之前对上述层间绝缘膜进行疏水改性处理,能够以良好的形状形成布线。例如,在将用于埋入布线的槽和/或孔形成在层间绝缘膜上之后,对层间绝缘膜进行疏水改性处理。由此,在形成槽和/或孔时在层间绝缘膜上形成的损伤层得到恢复,槽和/或孔的形状难以被损坏,能够维持良好的形状。若在维持了良好形状的槽和/或孔中埋入布线,则埋入的布线的形状也良好。因此,即使在去除层间绝缘膜、形成气隙之后,布线也能够呈良好的形状。
疏水改性处理的一个例子是,当损伤层包含作为末端基的羟基(以下称为OH基)时,对该损伤层的OH基进行置换处理,从而使末端变为甲基(CH3基=Me基,以下称为Me基)。末端基为Me基的层间绝缘膜具有疏水性。上述置换处理的一个例子是,例如,在作为疏水改性处理剂含有三甲基甲硅烷基二甲胺(TMSDMA)的气氛下,处理形成有槽的层间绝缘膜。由此,在层间绝缘膜上形成的损伤层得到恢复,槽的形状难以被损坏。
另外,当层间绝缘膜为相对介电常数小于SiO2的、例如相对介电常数小于4的Low-k膜时,能够同时获得下述效果:通过上述疏水改性处理,即置换OH基、使末端成为Me基,由于形成含有OH基的损伤层而增大的相对介电常数降低(电容率恢复)。
另外,当Low-k膜为具有孔隙的多孔质Low-k膜时,能够同时获得下述效果:通过上述疏水改性处理,能够抑制构成布线或阻挡金属的金属渗入多孔Low-k膜(孔隙密封)。以往,将形成新的薄膜来密封孔隙作为抑制渗入的方法。但是,通过上述疏水改性处理,能够不必形成新的薄膜就抑制渗入。由于能够抑制渗入,因此布线形成良好的形状。
作为疏水改性处理的具体条件的一个例子,当将层间绝缘膜取为膜厚为150nm的Low-k材料,使用TMSDMA作为疏水改性处理剂时,将处理温度(衬底温度)设为250℃、将处理压力(腔室内压力)设为0.67Pa(5mT)、将处理时间设为1min。
另外,作为疏水改性处理剂,除了上述TMSDMA之外,只要是像TMSDMA一样分子内具有硅氮键(Si-N)的化合物就能够使用。例如,作为这样的分子内具有硅氮键的化合物可以列举出:
TMDS(1,1,3,3-Tetramethyldisilazane)
HMDS(Hexamethyldisilazane)
DMSDMA(Dimethylsilyldimethylamine)
TMMAS(Trimethylmethylaminosilane)
TMIC S(Trimethyl(isocyanato)silane)
TMSA(Trimethylsilylacetylene)
TMSC(Trimethylsilylcyanide)
TMSPyrole(1-Trimethylsilylpyrole)
BSTFA(N,0-Bis(trimethylsilyl)trifluoroacetamide)
BDMADMS(Bis(dimethylamino)dimethylsilane)等。
另外,通过在为了形成气隙而从布线间去除层间绝缘膜之后,对该布线进行疏水改性处理,在形成气隙后也能够抑制布线变质。例如,若对露出的布线进行上述疏水改性处理,则可获得还原效果。即,还原在露出的布线表面形成的变质层、例如氧化层。
另外,当在气隙底部残留有层间绝缘膜时,由于对该层间绝缘膜进行疏水改性处理,因此,能够抑制氧化剂、例如水分向气隙内飞散。由此,在形成气隙之后也能够抑制布线变质。
而且,在从布线间去除层间绝缘膜之后对布线进行疏水改性处理的处理条件的一个具体例子是,当将布线阻挡金属取为膜厚5nm的钽(Ta)材料,使用TMSDMA作为疏水改性处理剂时,将处理温度(衬底温度)设为250℃、将处理压力(腔室内压力)设为0.67Pa(5mT)、将处理时间设为1min。
另外,作为疏水改性处理剂,除了上述TMSDMA之外,还能够使用上述那样的具有硅氮键的化合物。
如上所述,按照本发明实施方式的半导体器件的制造方法,由于在从布线间去除层间绝缘膜之前对上述层间绝缘膜进行疏水改性处理,因此,在具有相对介电常数大约为1的层间绝缘膜的半导体器件中,能够以良好的形状形成布线。
另外,由于在从上述布线间去除使布线间绝缘的层间绝缘膜之后对上述布线进行疏水改性处理,因此,在具有相对介电常数大约为1的层间绝缘膜的半导体器件中,在形成气隙之后也能够抑制布线变质。
下面,按照第一例、第二例…的顺序说明更加具体的实施方式。
作为在布线间形成气隙的方法,大体有两种。一种是在将布线用作掩膜,对层间绝缘膜进行回蚀的方法(回蚀法);另一种是在牺牲膜间形成布线,在形成布线之后除去牺牲膜的方法(牺牲膜法)。
首先,说明使用回蚀法形成气隙的具体例子。
(第一例)
图1(A)~图1(G)、以及图2(A)~图2(C)为表示本发明实施方式的第一例的半导体器件制造方法的剖视图。
首先,如图1(A)所示,在衬底1上形成层间绝缘膜2。在本说明书中,衬底1被定义为包含半导体衬底(半导体晶片)、和在半导体衬底上形成的层间绝缘膜、反射防止膜、布线等的底膜这两者。即,衬底1为半导体衬底或包含底膜的底部构造体。
接着,如图1(B)所示,在层间绝缘膜2上形成用于形成被埋入布线的槽和/或孔的作为掩膜的光致抗蚀剂图案3。
接着,如图1(C)所示,将光致抗蚀剂图案3用作掩膜,蚀刻层间绝缘膜2,在层间绝缘膜2上形成埋入布线的槽和/或孔4。
接着,如图1(D)所示,灰化、除去光致抗蚀剂图案3。通过图1(C)所示的蚀刻和光致抗蚀剂图案3的灰化,在层间绝缘膜2的露出面上形成损伤层5。
然后,如图1(E)所示,对形成有槽和/或孔4的层间绝缘膜2进行疏水改性处理。由此,在层间绝缘膜2上形成的损伤层5得到恢复。
接着,如图1(F)所示,在经过疏水改性处理后的层间绝缘膜2的槽和/或孔4中埋入布线6。例如,可以在埋入布线6时使用众所周知的金属镶嵌(damassin)法。
接着,如图1(G)所示,在本例中,将布线6用作掩膜,蚀刻被埋入了布线6的层间绝缘膜2,在层间绝缘膜2上形成气隙7。形成气隙7时,如图2(A)所示,在布线6的露出面上形成变质层,例如氧化层8。另外,在本例中,在气隙7底部残留有层间绝缘膜2。在层间绝缘膜2的从气隙7底部露出的露出面上形成新的损伤层9。
接着,如图2(B)所示,对形成了气隙7的层间绝缘层2以及布线6进行疏水改性处理。由此,在层间绝缘膜2上形成的损伤层9得到恢复。另外,还原、除去在布线6上形成的变质层,在本例中为氧化层8。
接着,如图2(C)所示,在布线6以及气隙之7上形成层间绝缘膜10。层间绝缘膜10以台阶覆盖性差的条件形成,使得不会填充气隙7。
图3(A)以及图3(B)、图4(A)以及图4(B)为表示由第一例的制造方法获得的效果的剖视图。
按照上述第一例的制造方法,如图1(E)所示,在层间绝缘膜2上形成槽和/或孔4之后,对层间绝缘膜2进行疏水改性处理。因此,如图3(A)所示,与没有进行疏水改性处理的情况(图3(B))相比,布线6的形状良好。如上所述,这是由于在层间绝缘膜2上形成的损伤层5得到恢复。作为能够使布线6的形状良好的结果,例如,与布线6的形状无规则变形的情况(图3(B))相比,能够减小布线6的电阻值的偏差。因此,例如,能够获得以下效果:能够成品率高地形成电路特性的偏差小的高品质半导体集成电路器件。
另外,按照上述第一例的制造方法,如图2(B)所示,在层间绝缘膜2上形成气隙7之后,对布线6以及层间绝缘膜2进行疏水改性处理。因此,如图4(A)所示,与没有进行疏水改性处理的情况(图4(B))相比,能够使布线6处于其表面没有变质层、例如没有氧化层8的状态。因此,例如,与布线6上具有变质层、例如氧化层8的情况相比,能够将布线6电阻值的增大抑制得较低。例如,这还能够产生以下效果:能够成品率良好地形成电路特性的偏差小的高品质半导体集成电路器件。
另外,当在气隙7的底部残留有层间绝缘膜2时,如图4(A)所示,与没有进行疏水改性处理的情况(图4(B))相比,能够使层间绝缘膜2处于其表面没有损伤层9、例如没有含OH基的损伤层9的状态。在层间绝缘膜2上没有损伤层9的结果是,在形成气隙7之后,能够抑制使布线6变质的物质、例如水分飞散到该气隙7内。因此,能够在形成气隙7之后抑制布线6变质,例如抑制在被气隙7暴露的表面上经过一段时间形成氧化层8。这带来以下效果:例如,能获得难以随着时间经过而老化的、长期稳定动作的半导体集成电路器件。
(第二例)
图5为表示按照本发明实施方式第二例的半导体器件制造方法形成的半导体器件的剖视图。
根据第一例的制造方法,在从布线6间完全除去层间绝缘膜2的状态下形成气隙7。但是,也可以如图5所示在布线6的侧壁残留有层间绝缘膜2的状态下形成气隙7。这时,可以在整个半导体集成电路器件中在布线6的侧壁残留层间绝缘膜2;也可以在整个半导体集成电路器件中混有在布线6的侧壁残留有层间绝缘膜2的部分、和从布线6间完全除去了层间绝缘膜2的部分。
图6(A)~图6(E)为表示本发明实施方式第二例的半导体器件制造方法的剖视图。
首先,如图6(A)所示,在衬底1上形成埋入了布线6的层间绝缘膜2。上述层间绝缘膜2可以按照参照图1(A)~图1(F)说明的制造方法而形成,也可以按照众所周知的制造方法而形成。
接着,如图6(B)所示,在层间绝缘膜2上形成用于形成气隙的、作为掩膜的光致抗蚀剂图案11。
接着,如图6(C)所示,将光致抗蚀剂图案11用作掩膜,蚀刻层间绝缘膜2,在层间绝缘膜2上形成气隙7。
接着,如图6(D)所示,灰化、除去光致抗蚀剂图案11。通过图6(C)所示的蚀刻和光致抗蚀剂图案11的灰化,在层间绝缘膜2的露出面上形成损伤层9。另外,通过光致抗蚀剂图案11的灰化,在布线6的露出面上形成变质层,例如氧化层8。
接着,如图6(E)所示,对形成了气隙7的层间绝缘膜2和布线6进行疏水改性处理。由此,在层间绝缘膜2上形成的损伤层9得到恢复。另外,还原、除去在布线6上形成的变质层,在本例中为氧化层8。
接着,如图5所示,在布线6以及气隙7之上形成层间绝缘膜10。层间绝缘膜10以台阶覆盖性差的条件形成,使得不会填充气隙7。
图7(A)以及图7(B)为表示由第二例的制造方法获得的效果的剖视图。
按照上述第二例的制造方法,能够获得与上述第一例的制造方法相同的效果。
另外,按照上述第二例的制造方法,由于以在布线6的侧壁残留层间绝缘膜2的状态形成气隙7,因此,如图7(A)所示,例如,当布线6间的间隔p大时,能够使气隙7的宽度w小于间隔p。若使气隙7的宽度w小于间隔p,则与气隙7的宽度w和间隔p相同的情况(图7(B))相比,能够增大气隙7周围的机械强度。作为机械强度增大的结果,能够获得以下效果:能够提供无论在制造期间还是完成后都难以损坏的半导体集成电路器件。
另外,在第二例的制造方法中,由于在布线6间残留层间绝缘膜2,因此,优选对层间绝缘膜2使用相对介电常数小的Low-k膜。
(第三例)
图8为表示按照本发明实施方式第三例的半导体器件制造方法形成的半导体器件的剖视图。
在布线6的侧壁残留层间绝缘膜2的半导体器件不限于在布线6间的间隔p大的情况下应用。
例如,也可以如图8所示在布线6的与上层布线12接触的部分应用。
图9(A)以及图9(B)为表示由第三例的制造方法获得的效果的剖视图。
如图9(A)所示,在布线6的与上层布线12接触的部分的侧壁残留层间绝缘膜2。若如上所述,则例如,即使当形成上层布线12的位置错开(未对准)时,也能够使上层布线12接触到布线6。
与此相对,如图9(B)所示,当在布线6的与上层布线12接触的部分形成了气隙7时,若位置未对准,则发生以下故障:气隙7的底部进一步洼下,或布线6之间经由构成上层布线12的导体而短路。
通过在布线6的与上层布线12接触的部分的侧壁残留层间绝缘膜2,能够消除上述故障。
通过第三例的制造方法形成的半导体器件可以在布线6的侧壁混有残留层间绝缘膜2的部分、和从布线6间去除了层间绝缘膜2的部分。下面,对上述半导体器件制造方法的一例进行说明。
图10(A)~图10(C)、以及图11(A)~图11(C)为表示本发明实施方式第三例的半导体器件制造方法的剖视图。
首先,如图10(A)所示,在衬底1上形成埋入了布线6的层间绝缘膜2。上述层间绝缘膜2可以通过参照图1(A)~图1(F)说明的制造方法形成,也可以通过众所周知的制造方法形成。
接着,如图10(B)所示,在层间绝缘膜2上形成用于形成气隙的、作为掩膜的光致抗蚀剂图案11。
接着,如图10(C)所示,将光致抗蚀剂图案11用作掩膜,蚀刻层间绝缘膜2,在层间绝缘膜2上形成气隙7。
接着,如图11(A)所示,灰化、除去光致抗蚀剂图案11。通过图10(C)所示的蚀刻和光致抗蚀剂图案11的灰化,在层间绝缘膜2的露出面上形成损伤层9。另外,通过图10(C)所示的蚀刻和光致抗蚀剂图案11的灰化,在布线6的露出面上还形成变质层,例如氧化层8。
接着,如图11(B)所示,对形成了气隙7的层间绝缘膜2以及布线6进行疏水改性处理。由此,在层间绝缘膜2上形成的损伤层9得到恢复。另外,还原、除去在布线6上形成的变质层,在本例中为氧化层8。
接着,如图11(C)所示,在布线6以及气隙7上形成层间绝缘膜10。层间绝缘膜10在台阶覆盖性差的条件下形成,使得不会填充气隙7。
接着,如图8所示,在层间绝缘膜10上形成上层布线12。例如,可以通过参照图1(A)~图1(F)说明的制造方法在层间绝缘膜10上形成上层布线12,也可以通过众所周知的制造方法形成上层布线12。
通过上述制造方法形成半导体器件,其中,该半导体器件的布线6的侧壁混有残留层间绝缘膜2的部分、和从布线6间去除了层间绝缘膜2的部分。
按照上述第三例的制造方法,则能够获得与上述第一例的制造方法相同的效果。
另外,按照上述第三例的制造方法,如上所述,在布线6的侧壁形成残留层间绝缘膜2的部分。因此,在残留有层间绝缘膜2的部分,即使当上层布线的位置未对准时,也能够抑制对气隙7产生异常的蚀刻、布线6之间发生短路等。因此,能够获得可成品率良好地制造半导体器件的效果。
(第四例)
接着,说明使用牺牲膜法形成气隙的具体例子。
图12(A)~图12(D)、以及图13(A)~图13(D)为表示本发明实施方式第四例的半导体器件制造方法的剖视图。
首先,如图12(A)所示,在衬底1上形成层间绝缘膜2。接着,在层间绝缘膜2上形成牺牲膜13。牺牲膜13由相对于层间绝缘膜2以及之后形成的布线6形成蚀刻选择比的材料构成。即,从能够获得难以蚀刻层间绝缘膜2以及布线6、容易蚀刻牺牲膜13的状态的材料中选择牺牲膜13的材料。
作为具体例子,在将SiOC类Low-k材料作为层间绝缘膜2、将在阻挡金属中使用了钽(Ta)的铜(Cu)作为布线6时,可选择SiO2作为牺牲膜13。
接着,如图12(B)所示,在牺牲膜13上形成用于形成埋入布线6的槽和/或孔的光致抗蚀剂图案或者硬掩膜图案14。
接着,如图12(C)所示,将硬掩膜图案14用作掩膜,蚀刻牺牲膜13,在牺牲膜13上形成埋入布线6的槽和/或孔15。
接着,如图12(D)所示,除去硬掩膜图案14。
接着,如图13(A)所示,将布线6埋入在牺牲膜13上形成的槽和/或孔15中。例如,也可以使用众所周知的金属镶嵌(damassin)法埋入布线6。
接着,如图13(B)所示,将布线6用作掩膜,除去牺牲膜13,在布线6间形成气隙7。这时,在布线6的露出面上形成变质层,例如氧化层8。另外,在层间绝缘膜2的露出面上形成损伤层9。
接着,如图13(C)所示,对布线6以及在气隙7的底部露出的层间绝缘膜2进行疏水改性处理。由此,在层间绝缘膜2上形成的损伤层9得到恢复。另外,还原、除去在布线6上形成的变质层,在本例中为氧化层8。
接着,如图13(D)所示,在布线6以及气隙7之上形成层间绝缘膜10。层间绝缘膜10以台阶覆盖性差的条件形成,使得不会填充气隙7。
使用上述制造方法,能够通过除去牺牲膜13来形成气隙7,而不用对层间绝缘膜2进行回蚀。
上述第四例的制造方法也能够获得与上述第一例的制造方法相同的效果。
(第五例)
在第四例的制造方法中,使牺牲膜13为单层构造,但也可以使牺牲膜13为多层构造。下面,将牺牲膜13为多层构造的一个例子作为第五例进行说明。
图14(A)~图14(D)、以及图15(A)~图15(D)为表示本发明实施方式第五例的半导体器件制造方法的剖视图。
首先,如图14(A)所示,在衬底1上形成层间绝缘膜2。接着,在层间绝缘膜2上形成多层牺牲膜16。在本例中,多层牺牲膜16为二层膜,包含能被除去的膜16a、和能使流体通过的膜16b。能被除去的膜16a由相对于层间绝缘膜2、之后形成的布线6、能使流体通过的膜16b形成蚀刻选择比的材料构成。即,从能够获得难以蚀刻层间绝缘膜2、布线6以及能使流体通过的膜16b、容易蚀刻能被除去的膜16a的状态的材料中选择的材料。
作为具体例子,将SiOC类Low-k材料作为层间绝缘膜2、将在阻挡金属中使用了钽(Ta)的铜(Cu)作为布线6时,可选择SiO2作为能被除去的膜16a。
在能被除去的膜16a之上形成能使流体通过的膜16b。能使流体通过的膜16b例如能够使蚀刻气体或者蚀刻液通向下层的能被除去的膜16a。
另外,能使流体通过的膜16b能够使暴露在蚀刻气体或蚀刻液中而升华或熔化了的能被除去的膜通过。
另外,当进行疏水改性处理时,能使流体通过的膜16b能够使疏水改性处理剂通过。
作为上述能使流体通过的膜的例子,可列举热分解性聚合物。通过加热,热分解性聚合物形成例如多孔状态,能通过流体。作为热分解性聚合物的具体例子,有聚苯乙烯。
接着,如图14(B)所示,通过与参照图12(B)说明的制造方法相同的制造方法,在能使流体通过的膜16b上形成硬掩膜图案14。
接着,如图14(C)所示,通过与参照图12(B)说明的制造方法相同的制造方法,将硬掩膜图案14用作掩膜,蚀刻多层牺牲膜16,在多层牺牲膜16上形成埋入布线6的槽和/或孔15。
接着,如图14(D)所示,除去硬掩膜图案14。
接着,如15(A)所示,通过与参照图13(A)说明的制造方法相同的制造方法,在槽和/或孔15中埋入布线6。
接着,如图15(B)所示,将布线6用作掩膜,隔着能使流体通过的膜16b除去能被除去的膜16a,在布线6间且在能使流体通过的膜16b之下形成气隙7。
接着,如图15(C)所示,隔着能使流体通过的膜16b对布线6、以及在气隙7的底部露出的层间绝缘膜2进行疏水改性处理。由此,在层间绝缘膜2上形成的损伤层9得到恢复。另外,还原、除去在布线6上形成的变质层,在本例中是氧化层8。
接着,如图15(D)所示,在布线6以及能使流体通过的膜16b之上形成层间绝缘膜10。在本例中,在布线6间具有能使流体通过的膜16b。因此,如上述例子所述,无需以台阶覆盖性差的成膜条件形成层间绝缘膜10。
使用上述制造方法,也能够通过除去牺牲膜13来形成气隙7,而不用对层间绝缘膜2进行回蚀。
在上述第五例的制造方法中,也能够获得与上述第一例的制造方法相同的效果。
(第六例)
接着,说明将实施方式的制造方法应用于对布线使用了铜或含有铜的导体的半导体器件实例中的具体例子。对于本例,虽然按照上述第三例进行说明,但是,当然能够用于第三例以外的例子。
图16(A)~图16(D)、图17(A)~图17(C)、以及图18(A)和图18(B)为表示本发明实施方式第六例的半导体器件制造方法的剖视图。
首先,如图16(A)所示,在衬底1上形成被埋入了使用铜或含有铜的导体的布线(以下称为铜布线)6a的层间绝缘膜2。在铜布线6a的侧面以及底部形成抑制铜向层间绝缘膜2扩散的阻挡金属17,在铜布线6a的上表面形成同样抑制铜扩散的覆层金属18。阻挡金属17的一个例子是钽(Ta),覆层金属18的一个例子是钴-钨-磷(CoWP)。形成有上述铜布线6a的层间绝缘膜2可以按照参照图1(A)~图1(F)说明的制造方法形成,也可以按照众所周知的方法形成。接着,在层间绝缘膜2以及覆层金属18上形成碳化硅(以下为SiC)膜19。
接着,如图16(B)所示,在层间绝缘膜2上,在本例中是在形成于层间绝缘膜2上的SiC膜19上,形成用于形成气隙的、作为掩膜的光致抗蚀剂图案11。
接着,如图16(C)所示,将光致抗蚀剂图案11用作掩膜,蚀刻SiC膜19以及层间绝缘膜2,在层间绝缘膜2上形成气隙7。
接着,如图1 6(D)所示,灰化、除去光致抗蚀剂图案11。通过图16(C)所示的蚀刻和光致抗蚀剂图案11的灰化,在层间绝缘膜2的露出面上形成损伤层9。另外,通过图16(C)所示的蚀刻和光致抗蚀剂图案11的灰化,在铜布线6a、阻挡金属17以及覆层金属18上形成变质层,例如氧化层8。
接着,如图17(A)所示,对形成了气隙7的层间绝缘膜2、以及铜布线6a进行疏水改性处理。由此,在层间绝缘膜2上形成的损伤层9得到恢复。另外,还原、除去在铜布线6a、阻挡金属17以及覆层金属18上形成的变质层,在本例中为氧化层8。
接着,如图17(B)所示,在铜布线6a以及气隙7之上形成层间绝缘膜10。层间绝缘膜10以台阶覆盖性差的条件形成,由此,不会填充气隙7。
接着,如图17(C)所示,在层间绝缘膜10上形成用于埋入上层布线的槽和/或孔20。例如,可以按照参照图1(B)及图1(C)说明的制造方法形成槽和/或孔20。通过层间绝缘膜10的蚀刻、在形成槽和/或孔20时使用的光致抗蚀剂图案(未图示)的灰化,在层间绝缘膜10的露出面上形成损伤层21。
另外,通过上述蚀刻和灰化,在槽和/或孔20底部露出的铜布线6a上,在本例中是在覆层金属18以及铜布线6a上,形成变质层,例如氧化层22。
接着,如图18(A)所示,对形成槽和/或孔20的层间绝缘膜10进行疏水改性处理。由此,在层间绝缘膜10上形成的损伤层21得到恢复。另外,还原、除去在铜布线6a和覆层金属18上形成的例如氧化层22。
接着,如图18(B)所示,在层间绝缘膜10上形成阻挡金属23,在阻挡金属23上形成铜或含有铜的导体,例如,对该铜或含有铜的导体进行机械化学研磨。由此,铜或含有铜的导体被埋入槽和/或孔20。由此,形成上层铜布线12a。
如上所述,实施方式的制造方法能够用于制造将铜、或含有铜的导体作为布线的半导体器件。
通过上述第六例的制造方法,也能够获得与上述第一例的制造方法相同的效果。
(第七例)
接着,说明能够实施实施方式的制造方法的半导体制造装置的例子。
图19为说明本发明实施方式的半导体器件制造方法中使用的半导体器件制造系统示意结构的说明图。
如图19所示,该半导体器件制造系统包括:SOD(Spin OnDielectric)装置101、抗蚀剂涂敷/显影装置102、曝光装置103、溅射装置106、电镀装置107和作为研磨装置的CMP装置109,另外,还包括:进行干蚀刻、干式灰化以及恢复处理的蚀刻/灰化/恢复处理装置108、和具有清洗处理装置104的处理部100、主控制部110。
处理部100的各装置与具备CPU的工艺控制器111连接并受该工艺控制器111控制。工艺控制器111连接用户接口112和储存部113,其中,该用户接口112由键盘和显示器等构成,通过该键盘进行输入指令的操作等,以便于工程管理者管理处理部100的各装置,通过显示器显示处理部100的各装置的工作状况、使该工作状况可视化,该储存部113存储制造方法(recipe),该制造方法记录有用于通过工艺控制器111控制实现在处理部100实施的各种处理的控制程序和处理条件数据等。
而且,根据需要,从用户接口112接受指示等,从储存部113调用任意制造方法,使工艺控制器111执行,由此,在工艺控制器111的控制下,由处理部100进行所期望的各种处理。另外,上述制造方法可以存储到例如CD-ROM、硬盘、软盘、非易失性存储器等可读取的存储介质中,另外,也可以使制造方法在处理部100的各装置之间或通过例如专用线从外部装置随时传输而在线使用。上述制造方法中存储使处理部100实施上述实施方式的制造方法的程序。
而且,可通过主控制部110执行所有的控制,但也可以使主控制部110只进行整体控制,在每个装置或者规定的各装置组中设置下位控制部进行控制。
清洗处理装置104由清洗处理单元、加热机构以及输送系统构成,对半导体衬底(以下称为晶片)W进行清洗处理。
如下所述,蚀刻/灰化/恢复处理装置108进行用于在层间绝缘膜(Low-k膜)上形成规定图案的槽或孔4和20的干蚀刻、用于除去光致抗蚀剂图案3和11的干式灰化、以及使层间绝缘膜2和10的损伤恢复的恢复处理,通过真空中的干式工艺连续进行上述处理。
图20为表示图19所示半导体器件制造系统使用的蚀刻/灰化/恢复处理装置示意结构的俯视图。
如图20所示,蚀刻/灰化/恢复处理装置108包括:用于进行干蚀刻(等离子蚀刻)的蚀刻单元151、152,进行干式灰化(等离子灰化)的灰化单元153,和进行恢复处理(疏水改性处理)的硅烷化处理单元154,上述各部件151~154分别对应于呈六角形的晶片输送室155的4个边设置。另外,在晶片输送室155的其它2个边上分别设置有装载室156、157。在上述装载室156、157的与晶片输送室155相反的一侧设置有晶片输入输出室158,在晶片输入输出室158的与装载室156、157相反的一侧设置端口159、160、161,上述端口用于安装能容纳晶片W的3个托架C。
如图20所示,蚀刻单元151、152,灰化单元153和硅烷化处理单元154以及装载室156、157通过闸阀G连接晶片输送室155的各个边,通过打开对应的闸阀G,上述单元与晶片输送室155连通,通过关闭对应的闸阀G,将上述部件与晶片输送室155隔开。另外,在装载室156、157的与晶片输入输出室158连接的部分设置有闸阀G,通过打开对应的闸阀G,装载室156、157与晶片输入输出室158连通,通过关闭对应的闸阀G,将装载室156、157与晶片输入输出室158隔开。
在晶片输送室155内设置有晶片输送装置162,对蚀刻单元151和152、灰化单元153、硅烷化处理单元154、装载室156和157输入输出晶片W。该晶片输送装置162配设在晶片输送室155的大致中央位置,在可转动和伸缩的转动伸缩部163的顶端具有保持晶片W的2个托板164a和164b,上述2个托板164a和164b以互相朝向相反方向的方式安装在转动伸缩部163上。此外,该晶片输送室155内被保持为规定的真空度。
在晶片输入输出室158的顶部设置有未图示的HEPA薄膜,通过该HEPA薄膜的洁净空气以向下流动的状态被供给到晶片输入输出室158内,在大气压的洁净空气气氛中输入输出晶片W。在晶片输入输出室158的安装托架C用的3个端口159、160、161上分别设置有未图示挡板,在上述端口159、160、161上直接安装容纳了晶片W的托架C或者空的托架C,在安装后,挡板落下,防止外部气体进入的同时,与晶片输入输出室158连通。另外,在晶片输入输出室158的侧面设置有调整室165,在此,能够对晶片W进行调整。
在晶片输入输出室158内设置有对托架C输入输出晶片W、以及对装载室156和157输入输出晶片W的晶片输送装置166。该晶片输送装置166具有多关节臂构造,可沿着托架C的排列方向在导轨168上移动,在其顶端的柄167上载置晶片W,进行输送。由控制部169进行晶片输送装置162和166的动作等、系统整体的控制。
接着,对各单元进行说明。
首先,对灰化单元153进行说明。蚀刻单元151和152仅处理气体不同,其示意构造与灰化单元相同,因此,省略说明。
图21为表示由蚀刻/灰化/恢复处理装置搭载的灰化单元的示意剖视图。
如图21所示,灰化单元153进行等离子灰化,具备大约圆筒状处理腔室211,在其底部隔着绝缘板213配置有基座支承台214,在该基座支承台214上配置有基座215。基座215兼用作下部电极,在其上表面通过静电吸盘220载置晶片W。标号216表示高通滤波器(HPF)。
在基座支承台214的内部设置使温度调节介质循环的温度调节介质室217,由此,将基座215调整到所期望的温度。导入管218和排出管219连接在温度调节介质室217上。
静电吸盘220具有在绝缘材料221之间配置有电极222的构造,通过从直流电源223向电极222施加直流电压,使晶片W静电吸附到静电吸盘220上。经由气体通路224向晶片W的背面供给由He气形成的导热气体,通过该导热气体将晶片W温度调节至规定温度。在基座215的上端周缘部配置有环状的聚集环225,该聚集环225包围在静电吸盘220上载置的晶片W的周围。
在基座215的上方设置有上部电极231,该上部电极231与基座215相对,通过绝缘材料232支承在等离子处理腔室211的内部。上部电极231由具有多个排出口233的电极板234、支承该电极板234的电极支承体235构成,形成喷淋头状。
在电极支承体235的中央设置有气体导入口236,气体供给管237连接该气体导入口236。气体供给管237经由阀238以及质量流控制器239、与供给用于灰化的处理气体的处理气体供给源240连接。从处理气体供给源240向处理腔室211内供给例如O2、NH3、CO2等气体作为灰化气体。
处理腔室211底部连接排气管241,排气装置245连接该排气管241。排气装置245具备涡轮分子泵等真空泵,可以将处理腔室211内设定为规定的减压气氛。在处理腔室211的侧壁部分设置有闸阀242。
上部电极231经由第一匹配器251与供给生成等离子用的高频电力的第一高频电源250连接。另外,在上部电极231上连接有低通滤波器(LPF)252。在作为下部电极的基座215上,经由第二匹配器261连接有第二高频电源260,其中,该高频电源260用于吸入等离子中的离子以进行灰化。
在如上所述构成的灰化单元153中,规定的灰化气体从处理气体供给源240导入处理腔室211内,通过来自第一高频电源250的高频电力等离子化,通过该等离子使晶片W的抗蚀剂膜等灰化而除去。
接着,对硅烷化处理单元154进行说明。硅烷化处理单元154实施在上述实施方式的制造方法中说明的疏水改性处理。
图22为表示由蚀刻/灰化/恢复处理装置搭载的硅烷化处理单元的示意剖视图。
如图22所示,硅烷化处理单元154具备容纳晶片W的腔室301,在腔室301的下部设置有晶片载置台302。加热器303埋设在晶片载置台302中,可以将载置到晶片载置台302上的晶片W加热至所期望的温度。在晶片载置台302上设置有可以突出、落下的晶片升降销304,在输入输出晶片W时等,可以使晶片W位于向上方离开晶片载置台302的规定位置。
在腔室301内,以划分含有晶片W的狭小的处理空间S的方式设置有内部容器305,使得向该处理空间S供给硅烷化剂(疏水改性处理气体)。在该内部容器305的中央形成有垂直延伸的气体导入通路306。
在该气体导入通路306上部连接有气体供给配管307,该气体供给配管307连接配管309和配管311,其中,该配管309从供给TMSDMA(N-Trimethylsilyldimethylamine)等硅烷化剂的硅烷化剂供给源308开始延伸,该配管311从供给由Ar、N2等气体构成的载气的载气供给源310开始延伸。从硅烷化剂供给源308侧开始,按照顺序在配管309上设置有使硅烷化剂气化的气化器312、质量流控制器313以及开关阀314。另一方面,从载气供给源310侧开始,按照顺序在配管311上设置有质量流控制器315以及开关阀316。而且,由气化器312气化的硅烷化剂由载气承载,通过气体供给配管307以及气体导入通路306,被导入到由内部容器305围绕的处理空间S内。处理时,通过加热器303将晶片W加热至规定温度。这时,可以将晶片的温度控制至例如室温~300℃。
以从腔室301外的大气气氛向腔室301内的内部容器305内延伸的方式设置有大气导入配管317。在该大气导入配管317上设置有阀318,通过打开阀318,将大气导入到由腔301内的由内部容器305围绕的处理空间S。由此,向晶片W供给规定的水分。
在腔室301的侧壁设置有闸阀319,通过打开该闸阀319,输入输出晶片W。在腔室301底部的周缘部设置有排气管320,通过未图示的真空泵经由排气管320对腔室301内进行排气,可将腔室内压力控制为例如10Torr(266Pa)以下。在排气管320上设置有冷槽321。另外,在晶片载置台302的上部与腔室壁之间的部分设置有挡板322。
另外,蚀刻/灰化/恢复处理装置108在真空气氛中连接进行蚀刻、灰化、恢复处理,在这种状态下,晶片W的存在空间中几乎不存在水分,因此可能产生下述问题:在硅烷化处理单元154中难以发生上述硅烷化反应,难以获得充分的恢复效果。
因此,可以通过控制部169进行控制,使得在导入硅烷化剂之前,打开大气导入配管317的阀318而导入大气,使水分吸附到晶片W上,之后,通过加热器303加热晶片载置台302上的晶片W来调整水分,然后导入硅烷化剂。这时的加热温度优选为50~200℃。另外,从促进硅烷化反应的观点来看,也可以进行控制使得在开始导入硅烷化剂之后加热晶片W。
另外,作为灰化单元153示于图21的装置具有能够进行蚀刻处理、灰化处理、恢复处理中的任意2种处理或所有处理的功能。即,若使用可以供给蚀刻处理用气体和灰化处理用气体的供给源作为处理气体供给源240,则能够先通过蚀刻处理用气体进行蚀刻,接着,切换成灰化处理用气体进行灰化处理。另外,若使用可以供给蚀刻处理用气体、灰化处理用气体、以及硅烷化剂的供给源作为处理气体供给源240,则能够先通过蚀刻处理用气体进行蚀刻,接着,切换成灰化处理用气体进行灰化处理,再切换成硅烷化剂进行硅烷化处理。但是,进行硅烷化处理时,需要设置对晶片W供给水分的机构。
另外,对于上述蚀刻/灰化/恢复处理装置108,在进行硅烷化处理之前,向硅烷化处理装置154导入了大气,但也可以向其它单元、例如晶片输送室155导入大气,向晶片供给水分。另外。作为供给水分的机构,可以构成为供给除空气以外的例如蒸馏水蒸气。
通过使用上述半导体制造系统,能够实施本发明实施方式的制造方法。
以上,通过几个实施方式对本发明进行了说明,但本发明不限于上述实施方式,在不脱离发明主旨的范围内能够进行各种变形。
例如,虽然作为疏水改性处理对硅烷化处理进行了说明,但也可以通过其它疏水改性气体进行疏水改性处理。
另外,作为层间绝缘膜,理想的是Low-k膜。作为Low-k膜,可以使用通过SOD装置形成的多孔MSQ(Porousmethyl-hydrogen-SilsesQuioXane)、作为通过CVD形成的无机绝缘膜之一的SiOC类膜等。当然,Low-k膜也不限于此。

Claims (14)

1.一种具有相对介电常数大约为1的层间绝缘层的半导体器件的制造方法,其特征在于,
包括以下工序中的至少任意一个工序:
在将气隙形成在使布线间绝缘的层间绝缘膜上之前对所述层间绝缘膜进行疏水改性处理的工序;和
在将气隙形成在使布线间绝缘的层间绝缘膜上之后对所述布线进行疏水改性处理的工序。
2.一种半导体器件的制造方法,其特征在于,包括:
在衬底上形成层间绝缘膜的工序;
在所述层间绝缘膜上形成埋入布线的槽和/或孔的工序;
对形成了所述槽和/或孔的层间绝缘膜进行疏水改性处理的工序;
在进行了所述疏水改性处理的所述层间绝缘膜的所述槽和/或孔中埋入布线的工序;和
在埋入了所述布线的所述层间绝缘膜上形成气隙的工序。
3.如权利要求2所述的半导体器件的制造方法,其特征在于,
还包括:对形成了所述气隙的所述层间绝缘膜和/或所述布线进行疏水改性处理的工序。
4.一种半导体器件的制造方法,其特征在于,包括:
在衬底上形成埋入了布线的层间绝缘膜的工序;
在埋入了所述布线的所述层间绝缘膜上形成气隙的工序;
对形成了所述气隙的所述层间绝缘膜和/或所述布线进行疏水改性处理的工序。
5.如权利要求2~4中任意一项所述的半导体器件的制造方法,其特征在于,
所述气隙以在部分或全部所述布线的侧壁残留有所述层间绝缘膜的状态形成。
6.如权利要求5所述的半导体器件的制造方法,其特征在于,
在所述侧壁残留有所述层间绝缘膜的所述布线上,其它布线从上层与之接触。
7.一种半导体器件的制造方法,其特征在于,包括:
在衬底上形成层间绝缘膜的工序;
在所述层间绝缘膜上形成牺牲膜的工序;
在所述牺牲膜上形成埋入布线的槽和/或孔的工序;
对形成了所述槽和/或孔的牺牲膜进行疏水改性处理的工序;
在所述牺牲膜的所述槽和/或孔中埋入布线的工序;和
从所述层间绝缘膜上去除所述牺牲膜的工序。
8.如权利要求7所述的半导体器件的制造方法,其特征在于,
还包括:对去除了所述牺牲膜的所述层间绝缘膜和/或所述布线进行疏水改性处理的工序。
9.一种半导体器件的制造方法,其特征在于,包括:
在层间绝缘膜上形成埋入了布线的牺牲膜的工序;
从所述层间绝缘膜上去除所述牺牲膜的工序;和
对除去了所述牺牲膜的所述层间绝缘膜和/或所述布线进行疏水改性处理的工序。
10.如权利要求7~9中任意一项所述的半导体器件的制造方法,其特征在于,
所述牺牲膜是包含能被除去的膜和能使流体通过的膜的多层膜,
在从所述层间绝缘膜上去除所述牺牲膜的工序中,隔着所述能使流体通过的膜去除所述能被除去的膜。
11.如权利要求8或权利要求9中所述的半导体器件的制造方法,其特征在于,
所述牺牲膜是包含能被除去的膜和能使流体通过的膜的多层膜,
在从所述层间绝缘膜上去除所述牺牲膜的工序中,隔着所述能使流体通过的膜去除所述能被除去的膜,
在对除去了所述牺牲膜的所述层间绝缘膜和/或所述布线进行疏水改性处理的工序中,隔着所述能使流体通过的膜对除去了所述牺牲膜的所述层间绝缘膜和/或所述布线进行疏水改性处理。
12.如权利要求1、2、4、7、9中任意一项所述的半导体器件的制造方法,其特征在于,
所述层间绝缘膜是Low-k膜。
13.如权利要求1、2、4、7、9中任意一项所述的半导体器件的制造方法,其特征在于,
所述疏水改性处理是将末端置换成甲基的置换处理。
14.如权利要求13所述的半导体器件的制造方法,其特征在于,
所述疏水改性处理在含有三甲基甲硅烷基二甲胺(TMSDMA)的气氛中进行。
CN2009101454890A 2008-06-09 2009-06-09 半导体器件的制造方法 Active CN101604658B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008150894A JP5342811B2 (ja) 2008-06-09 2008-06-09 半導体装置の製造方法
JP2008150894 2008-06-09
JP2008-150894 2008-06-09

Publications (2)

Publication Number Publication Date
CN101604658A true CN101604658A (zh) 2009-12-16
CN101604658B CN101604658B (zh) 2011-11-02

Family

ID=41400697

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101454890A Active CN101604658B (zh) 2008-06-09 2009-06-09 半导体器件的制造方法

Country Status (5)

Country Link
US (1) US8026150B2 (zh)
JP (1) JP5342811B2 (zh)
KR (1) KR101143727B1 (zh)
CN (1) CN101604658B (zh)
TW (1) TWI475641B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104952716A (zh) * 2014-03-25 2015-09-30 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN109390274A (zh) * 2017-08-03 2019-02-26 东京毅力科创株式会社 对被处理体进行处理的方法
CN111192823A (zh) * 2018-11-14 2020-05-22 东京毅力科创株式会社 器件的制造方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2406267B1 (en) * 2009-03-10 2019-02-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cyclic amino compounds for low-k silylation
JP2012033880A (ja) * 2010-06-30 2012-02-16 Central Glass Co Ltd 撥水性保護膜形成用薬液
JP2012074608A (ja) * 2010-09-29 2012-04-12 Tokyo Electron Ltd 配線形成方法
JP5941623B2 (ja) * 2011-03-25 2016-06-29 東京エレクトロン株式会社 処理方法および記憶媒体
JP2013026347A (ja) * 2011-07-19 2013-02-04 Toshiba Corp 半導体装置およびその製造方法
KR101807247B1 (ko) * 2011-09-23 2017-12-11 삼성전자주식회사 3차원 반도체 장치의 제조 방법
JP5925611B2 (ja) * 2012-06-21 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
DE102012012942B4 (de) * 2012-06-29 2015-07-23 Oxea Gmbh Mischungen enthaltend Trimellitsäureester und Triethylenglykol-di-2-ethylhexanoat als Plastifiziermittel, Verwendung der Mischungen zur Herstellung von Polymercompounds und PVC-Werkstoffe enthaltend diese Mischung
US9991200B2 (en) 2014-09-25 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Air gap structure and method
US9543194B2 (en) 2014-12-05 2017-01-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP6318188B2 (ja) * 2016-03-30 2018-04-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6754257B2 (ja) * 2016-09-26 2020-09-09 株式会社Screenホールディングス 基板処理方法
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
JP6792788B2 (ja) * 2017-03-30 2020-12-02 東京エレクトロン株式会社 半導体装置の製造方法
US11024535B2 (en) * 2018-10-10 2021-06-01 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
JP2022065303A (ja) 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法および基板処理装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208622A (ja) 1999-01-12 2000-07-28 Tokyo Electron Ltd 半導体装置及びその製造方法
KR100307490B1 (ko) * 1999-08-31 2001-11-01 한신혁 반도체 장치의 기생 용량 감소 방법
JP4644924B2 (ja) * 2000-10-12 2011-03-09 ソニー株式会社 半導体装置およびその製造方法
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
JP4293752B2 (ja) * 2002-02-28 2009-07-08 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP4574145B2 (ja) 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
JP4052950B2 (ja) * 2003-01-17 2008-02-27 Necエレクトロニクス株式会社 半導体装置の製造方法
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
KR100870806B1 (ko) 2004-07-02 2008-11-27 도쿄엘렉트론가부시키가이샤 반도체 디바이스의 제조 방법
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
JP4987254B2 (ja) * 2005-06-22 2012-07-25 株式会社東芝 半導体装置の製造方法
JP5247999B2 (ja) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 基板処理方法およびコンピュータ読取可能な記憶媒体
US7482281B2 (en) * 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
US7605073B2 (en) * 2006-05-19 2009-10-20 Intel Corporation Sealants for metal interconnect protection in microelectronic devices having air gap interconnect structures
JP5119618B2 (ja) * 2006-07-20 2013-01-16 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置及び記憶媒体
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104952716A (zh) * 2014-03-25 2015-09-30 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN104952716B (zh) * 2014-03-25 2017-12-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN109390274A (zh) * 2017-08-03 2019-02-26 东京毅力科创株式会社 对被处理体进行处理的方法
CN109390274B (zh) * 2017-08-03 2023-09-05 东京毅力科创株式会社 对被处理体进行处理的方法
CN111192823A (zh) * 2018-11-14 2020-05-22 东京毅力科创株式会社 器件的制造方法

Also Published As

Publication number Publication date
JP2009295935A (ja) 2009-12-17
US8026150B2 (en) 2011-09-27
US20090305480A1 (en) 2009-12-10
TWI475641B (zh) 2015-03-01
KR101143727B1 (ko) 2012-05-09
TW201017819A (en) 2010-05-01
KR20090127838A (ko) 2009-12-14
CN101604658B (zh) 2011-11-02
JP5342811B2 (ja) 2013-11-13

Similar Documents

Publication Publication Date Title
CN101604658B (zh) 半导体器件的制造方法
CN100508163C (zh) 半导体装置的制造方法
US7510972B2 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
CN100517603C (zh) 基板处理方法
US20080057728A1 (en) Process For Fabricating Semiconductor Device
US20070249156A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8187981B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
JP3193335B2 (ja) 半導体装置の製造方法
US6825124B2 (en) Method of forming metal line in semiconductor device
CN101456153A (zh) 钨化学机械研磨方法及钨插塞的制造方法
CN101901781A (zh) 处理方法
JP4684866B2 (ja) 半導体装置の製造方法
US20050274393A1 (en) Wafer clean process
US20100301494A1 (en) Re-establishing a hydrophobic surface of sensitive low-k dielectrics in microstructure devices
CN201930874U (zh) 晶圆表面液体喷出装置
KR940005723B1 (ko) 반도체 장치
TW201916368A (zh) 半導體裝置
WO2009131825A2 (en) Adhesion and electromigration improvement between dielectric and conductive layers
CN102723305B (zh) 膜的处理方法
CN1981375A (zh) 半导体器件的制造方法
CN101627469A (zh) 半导体装置的制造方法和记录介质
US7253116B2 (en) High ion energy and reative species partial pressure plasma ash process
CN102509705A (zh) 化学机械抛光后损伤的超低介电常数薄膜的修复方法
KR100702791B1 (ko) 반도체 소자의 제조 방법
EP1691409A1 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant