CN101232021B - 半导体结构 - Google Patents

半导体结构 Download PDF

Info

Publication number
CN101232021B
CN101232021B CN 200810002671 CN200810002671A CN101232021B CN 101232021 B CN101232021 B CN 101232021B CN 200810002671 CN200810002671 CN 200810002671 CN 200810002671 A CN200810002671 A CN 200810002671A CN 101232021 B CN101232021 B CN 101232021B
Authority
CN
China
Prior art keywords
layer
metal
grid electrode
grid
electrode layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN 200810002671
Other languages
English (en)
Other versions
CN101232021A (zh
Inventor
侯永田
徐鹏富
金鹰
林纲正
黄国泰
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101232021A publication Critical patent/CN101232021A/zh
Application granted granted Critical
Publication of CN101232021B publication Critical patent/CN101232021B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明涉及一种半导体结构,包括:半导体基底;第一导电型的第一金属氧化物半导体装置,包括:第一栅极介电层,形成于半导体基底上;含有金属的第一栅极电极层,形成于第一栅极介电层上;硅化层,形成于含有金属的第一栅极电极层上;以及第二导电型的第二金属氧化物半导体装置,其导电型与第一导电型相反,包括:第二栅极介电层,形成于半导体基底上;含有金属的第二栅极电极层,形成于第二栅极介电层上;以及接触蚀刻停止层,具有一部分形成于含有金属的第二栅极电极层上,其中介于接触蚀刻停止层的上述部分与含有金属的第二栅极电极层之间的区域实质上不含硅。本发明可以取其利用具有能带边缘的功函数的优点,同时克服耗尽效应等缺陷。

Description

半导体结构 
技术领域
本发明涉及一种半导体装置,且特别涉及一种金属氧化物半导体(MOS)装置的结构与制造方法。 
背景技术
MOS装置是在集成电路中基本的构造元件。一般而言,公知的金属氧化物半导体装置,具有包含掺杂有P型或N型不纯物的多晶硅栅极电极,此金属栅极使用例如离子注入或热扩散的掺杂操作所形成的。较佳的实施方式,是将上述栅极电极的功函数调整至其硅原子的能带边缘(band-edge)。也就是,针对N型金属氧化物半导体(NMOS)装置,调整此栅极电极的功函数至接近导带(conduction band);针对P型金属氧化物半导体(PMOS)装置,则调整其功函数至接近价带(valance band)。通过选择适当的不纯物,即可实现上述栅极电极的功函数的调整。 
具有多晶硅栅极电极的MOS装置会呈现出载流子耗尽效应(carrierdepletion effect),此效应也可当作是多晶硅耗尽效应。其中此多晶硅耗尽效应是在使用电场清除靠近栅极介电层的电子,形成耗尽层(depletion layer)时所发生的。在掺杂有N型不纯物的多晶硅层中,此耗尽层包含离子化的不可移动的受体部位(donor site),而在掺杂有P型不纯物的多晶硅层中,此耗尽层则包含离子化的不可移动的施体部位(acceptor site)。值得注意的是,此耗尽效应会造成有效栅极介电层的厚度增加,而使得要在此半导体表面上形成反转层(inversion layer)更为困难。 
使用薄的栅极介电层会使得此载流子耗尽效应更趋严重(worse)。当使用薄的栅极介电层时,上述多晶硅栅极中的耗尽层的厚度相比于此薄的栅极介电层的厚度会变得更明显,因而使得装置的性能降低得更严重。由于可减少的有效栅极介电层的厚度有限,因此,上述栅极电极中的载流子耗尽效应会限制住装置的可调整尺寸的能力(scalability)。 
现有发明通过形成金属栅极电极或金属硅化物栅极电极来解决上述的载流子耗尽效应,其中在NMOS装置及PMOS装置中所使用的金属栅极较佳为具有能带边缘(band-edge)的功函数。目前已经发现可适用于形成NMOS装置的金属栅极材料,例如碳化钽(TaC)。然而,对于PMOS装置,即使具有能带边缘功函数的金属材料已被开发出来,但这些材料的热稳定性都很差。当这些材料暴露在前段工艺的高温下,其功函数会朝向例如中间能隙的能阶移动(shift)。因而对于所产生的PMOS装置的性能会有不利的影响。 
现有的形成双金属互补型金属氧化物半导体(CMOS)工艺的方法主要包含两种,即前栅极(gate-first)与后栅极(gate-last)工艺法。一般而言,前栅极工艺法具有两种不同功函数的金属层,分别形成于PMOS区与NMOS区。随后图案化此金属层,以形成栅极电极。然后再形成其他例如间隔层、轻掺杂的源极/漏极区、源极/漏极区、硅化层及接触蚀刻停止层等MOS装置的元件。此工艺相当简单,且形成连续的接触蚀刻停止层,使其可以有效地施加应力。然而,因为这些金属栅极形成于轻掺杂的源极/漏极区(LDD)及源极/漏极区的活化与形成步骤之前,所以它们会遭遇到高的热预算,因而可能会造成PMOS装置的功函数的移动。此外,通过蚀刻来图案化金属层是相当困难的。 
另一方面,后栅极工艺法一般包括形成PMOS装置与NMOS装置的虚置栅极(dummy gate)的步骤。随后再形成轻掺杂的源极/漏极区、栅极间隔层、源极/漏极区及接触蚀刻停止层。接下来,移除PMOS装置与NMOS装置的虚置栅极,再填入具有不同功函数的金属至PMOS装置与NMOS装置的开口内。在上述后栅极工艺中,因为PMOS装置与NMOS装置的金属栅极形成于轻掺杂的源极/漏极区及源极/漏极区的活化与形成步骤之后,所以这些栅极金属具有低的热预算。然而,上述工艺是很复杂的。此外,在移除上述虚置栅极的步骤期间,也会移除接触蚀刻停止层覆盖在此栅极上的部分,而造成此接触蚀刻停止层施加应力的能力明显降低。 
因此,在现有技术中,需要一种半导体结构与双金属栅极的各自形成的方法,以取其利用具有能带边缘的功函数的优点,同时可克服上述现有技术的缺点。 
发明内容
本发明的目的在于提出一种半导体结构,以取其利用具有能带边缘的功函数的优点,同时克服上述耗尽效应等导致的缺陷。 
本发明提供一种半导体结构,包括:半导体基底;第一导电型的第一金属氧化物半导体装置,包括:第一栅极介电层,形成于该半导体基底上;含有金属的第一栅极电极层,形成于该第一栅极介电层上,其中该含有金属的第一栅极电极层包括一金属层与一多晶硅层,该金属层形成于该第一栅极介电层上,而该多晶硅层形成于该金属层之上;硅化层,形成于该多晶硅层上;以及第二导电型的第二金属氧化物半导体装置,其导电型与该第一导电型相反,包括:第二栅极介电层,形成于该半导体基底上;含有金属的第二栅极电极层,形成于该第二栅极介电层上;以及接触蚀刻停止层,具有一部分形成于该含有金属的第二栅极电极层上,其中介于该接触蚀刻停止层的该部分与该含有金属的第二栅极电极层之间的区域实质上不含硅。 
如上所述的半导体结构,其中该接触蚀刻停止层包含一部分形成于该硅化层上。 
如上所述的半导体结构,其中该含有金属的第一栅极电极层具有约小于4.4eV的功函数,且其中该含有金属的第二栅极电极层具有约大于4.9eV的功函数。 
如上所述的半导体结构,其中该含有金属的第一栅极电极层包含钽。 
如上所述的半导体结构,其中该第一金属氧化物半导体装置还包含至少黏着层及覆盖层其中之一,且其中该黏着层介于该第一栅极介电层与该含有金属的第一栅极电极层之间,且该覆盖层形成于该含有金属的第一栅极电极层上。 
如上所述的半导体结构,其中该第二金属氧化物半导体装置还包含黏着层,该黏着层介于该第二栅极介电层与该含有金属的第二栅极电极层之间。 
如上所述的半导体结构,其中该第一金属氧化物半导体装置还包括:源极/漏极区,邻接该第一栅极介电层;硅化区,形成于该源极/漏极区上,其中该硅化区与该硅化层包含不同的金属。 
如上所述的半导体结构,其中该第一金属氧化物半导体装置为N型金属氧化物半导体装置,且该第二金属氧化物半导体装置为P型金属氧化物半导体装置。 
本发明还提供一种半导体结构,包括:半导体基底;N型金属氧化物半导体装置,包括:第栅极介电层,形成于该半导体基底上;含有金属的第一栅极电极层,形成于该第一栅极介电层上,其中该含有金属的第一栅极电极层包括一金属层与一多晶硅层,该金属层形成于该第一栅极介电层上,而该多晶硅层形成于该金属层之上;硅化区,形成于该多晶硅层上;以及第一接触蚀刻停止层,其具有一部分在该硅化区上,且以物理接触该硅化区;以及P型金属氧化物半导体装置,包括:第二栅极介电层,形成于该半导体基底上;含有金属的第二栅极电极层,形成于该第二栅极介电层上,其中该含有金属的第一栅极电极层具有第一功函数,且该第一功函数与该含有金属的第二栅极电极层的第二功函数不同;以及第二接触蚀刻停止层,具有一部分形成于该含有金属的第二栅极电极层上,且以物理接触该含有金属的第二栅极电极层。 
如上所述的半导体结构,其中该第一功函数低于该第二功函数。 
如上所述的半导体结构,其中该第一功函数约低于4.4eV,且该第二功函数约大于4.9eV。 
如上所述的半导体结构,其中该含有金属的第一栅极电极层包含钽,且该含有金属的第二栅极电极层包含金属,该金属择自实质上由钨、钌及钼或其组合所组成的族群。 
如上所述的半导体结构,其中该N型金属氧化物半导体装置还包括:源极/漏极区,邻接该第一栅极介电层;以及外加的硅化区,形成于该多晶硅层上,其中该硅化区与该外加的硅化区包含不同的金属。 
如上所述的半导体结构,其中该第一与该第二接触蚀刻停止层属于同一层。 
本发明还提供一种半导体结构,包括:半导体基底;第一导电型的第一金属氧化物半导体装置,包括:第一栅极介电层,形成于该半导体基底上;含有金属的第一栅极电极层,形成于该第一栅极介电层上;具有第一部分的第一接触蚀刻停止层,形成于该含有金属的第一栅极电极层上;第一区域,介于该具有第一部分的第一接触蚀刻停止层与该含有金属的第一栅极电极层之间;以及第二导电型的第二金属氧化物半导体装置,其导电型与该第一导电型相反,该第二金属氧化物半导体装置包括:第二栅极介电层,形成于该半导体基底上;含有金属的第二栅极电极层,形成于该第二栅极介电层上; 具有第二部分的第二接触蚀刻停止层,形成于该含有金属的第二栅极电极层上;以及第二区域,介于该含有金属的第二栅极电极层与该具有第二部分的第二接触蚀刻停止层之间,其中该第一区域包含比该第二区域还多的硅。 
因此,本发明可以取其利用具有能带边缘的功函数的优点,同时克服耗尽效应等导致的缺陷。 
附图说明
图1至图13为一系列的按照本发明实施例所制造的半导体结构的剖面图。 
并且,上述附图中的各附图标记说明如下: 
20                   基底 
24、124、224         栅极介电层 
26、50、126、226     金属层 
28、128、228         多晶硅层 
29、129、229         掩模层 
30、246              黏着层 
32                   覆盖层 
42                   涂布层 
54                   接触蚀刻停止层 
56                   层间介电层 
100                  NMOS区 
134、234             栅极堆叠层 
136、236             轻掺杂的源极与漏极 
138、238             源极/漏极区 
139                  碳化硅(SiC)应力层 
140、240             间隔层 
141、241             硅化区 
152                  硅化层 
160NMOS              装置 
200                  PMOS区 
224                栅极介电层 
239                硅锗(SiGe)应力层 
244                金属材料层 
248                栅极电极 
260                PMOS装置 
具体实施方式
本发明较佳实施例的制造与使用的说明详述如下,然而,值得注意的是,本发明提供许多可应用的发明概念并于特定的内容中广泛地具体说明。这些实施例仅以特定的附图阐述本发明的制造与使用,但不用以限制本发明的范围。 
本发明提供一种形成具有双金属栅极的互补型金属氧化物半导体的混合的方法。此混合的方法结合前栅极与后栅极工艺方法,以获得较佳的效果。以下通过各种附图及图例说明本发明较佳实施例的制造过程,本发明各种不同的实施例中,相同的符号代表相同或类似的元件。 
请参照图1,提供基底20,此基底可由例如本体硅基底(bulk silicon)、绝缘层上覆硅(SOI)、硅锗(SiGe)、嵌入式硅锗(SiGe)以及锗或类似的材料等一般所使用的半导体材料或结构来形成。首先,形成浅沟槽绝缘区(图未显示)于基底20内,此隔离区用以定义NMOS区100与PMOS区200。随后于基底20上,形成栅极介电层24、金属层26、多晶硅层28以及掩模层29。在一个实施例中,使用氧化硅来形成栅极介电层24。而在其他实施例中,栅极介电层24包含具有高介电常数(high-k)的材料,具体的高介电常数材料包含例如氧化铪(HfO2)、氧化锆(ZrO2)、硅酸氮氧硅铪(HfSiON)、以及例如锆氧化物铪(HfZrO)、钽氧化物铪(HfTaO)、钛氧化物铪(HfTiO)以及铝氧化物铪(HfAlO)的金属合金属氧化物化物或其组合。在一个具体实施例中,栅极介电层24的厚度约介于1nm与10nm之间。此外,本领域技术人员将可了解,全文中所叙述的厚度与其他的尺寸有关于此集成电路的形成技术的等级(scale)。 
较佳的金属层26具有适合用以形成NMOS装置的功函数(workfunction),且此功函数较佳约介于4.0eV与4.4eV之间,且更佳约接近能带 边缘的4.1eV。上述具体的材料包括例如碳化钽(SiC)、氮化钽(TaN)及氮化硅钽(TaSiN)或其组合的含有钽的材料。此外,金属层26可具有约介于1nm与10nm之间的厚度。其中,栅极介电层24与金属层26的形成方法可包括原子层沉积(ALD);物理气相沉积(PVD);有机金属化学气相沉积(MOCVD)或类似的方法。 
多晶硅层28可具有约30nm与100nm之间的厚度。此多晶硅层28的功能包括避免金属层26的污染以及维持堆叠层的高度到方便于现有工艺进行的高度。另外,掩模层29较佳可由例如氮化硅、氮氧化硅、碳化硅或类似的介电材料来形成。 
在一个可替代的实施例中,黏着层30及/或覆盖层32可以分别形成于金属层26的上下位置。在较佳的实施例中,黏着层30用以改善栅极介电层24与金属层26之间的黏着性,且可进一步避免栅极介电层24与金属层26之间的交互作用及/或反应。而覆盖层32是用以避免上述金属层26的氧化。此外,黏着层30与覆盖层32可各自包含氮化钛(TiN)、氮化硅钽(TaSiN)或其组合。上述的黏着层30与覆盖层32各自的厚度,较佳约介于1nm与10nm之间。如果有形成黏着层30与覆盖层32,黏着层30与覆盖层32的厚度将保留在此所形成的NMOS装置中。为了简化,此两层并未显示于随后的附图中。 
图2显示图案化上述所形成的堆叠层,分别形成栅极堆叠层134于NMOS装置100中,以及栅极堆叠层234于PMOS装置200中。其中栅极堆叠层134包含栅极介电层124、金属层126、多晶硅层128以及掩模层129。而栅极堆叠层234包含栅极介电层224、金属层226、多晶硅层228以及掩模层229。上述包含金属层226及多晶硅层228的栅极电极将于随后的步骤中移除,因此,将其作为选择性的虚置栅极。 
接着如图3A显示,可通过注入N型不纯物,以在NMOS装置100中形成轻掺杂的源极与漏极(LDD)区136。同样地,较佳可利用注入P型不纯物,以在PMOS装置200中形成轻掺杂的源极与漏极区236。当注入NMOS装置100及PMOS装置200其中之一时,在注入前先形成掩模层以遮蔽不要注入的另一方。随后形成间隔层140及240。间隔层140及240均可包括单层或复合层(composite layer),例如形成于衬底氧化层(oxide liner)上的氮化硅层。 在随后的步骤中,分别形成源极及/或漏极区(此后以源极/漏极区来表示)138及238于NMOS装置100及PMOS装置200中。图3B显示本发明另一个代替的实施例,此实施例通过形成应力层(stressors)来改善沟道区的应力。在PMOS装置200中,可形成硅锗(SiGe)应力层239,以施加压缩应力于上述各自的PMOS装置的沟道区,而在NMOS装置100中,则形成碳化硅(SiC)应力层139,其施加拉伸应力于上述各自的NMOS装置的沟道区。此外,进行例如快速热退火(RTA)、激光退火(Laser anneal)、快闪退火(flash anneal)的退火工艺,以活化轻掺杂的源极与漏极区136及236与源极/漏极区138及238。在此较佳实施例中,上述的活化步骤可在形成PMOS装置的金属栅极前的任何时候来进行,此步骤如图8所示。由于形成轻掺杂的源极与漏极区136及236、间隔层140及240以及源极/漏极区138及238的详细工艺均为公知的技术,故此处不再重复叙述。 
图4显示分别在源极/漏极区138上形成硅化区141,以及在源极/漏极区238上形成硅化区241的硅化工艺。此硅化工艺可包括以毯覆式形成金属层,此金属层包括镍(Ni)、铂(Pt)、钯(Pd)、钛(Ti)、钴(Co)或其组合,且加热此基底,使得在源极/漏极区138及238上的硅与所接触的上述金属反应,因而形成金属硅化区。随后通过使用会攻击金属但不会攻击硅化物的蚀刻剂,以选择性的移除上述未反应的金属。 
图5显示涂布层42的形成方式,其顶部表面高于掩模层129及229的顶部表面。在一个实施例中,涂布层42包含例如一般使用于层间介电层(ILD)的介电材料,此材料包括含碳的氧化物。在其他的实施例中,涂布层42为光致抗蚀剂层。 
请参照图6,进行化学机械研磨工艺(CMP),以移除部分的涂布层42,以及掩模层129及229,使露出多晶硅层128及228。在以光致抗蚀剂所形成的涂布层42的例子中,此涂布层42可通过研磨或回蚀刻以露出掩模层129及229。 
图7显示选择性的移除上述包含多晶硅层228与金属层226的虚置栅极。其中多晶硅层228可使用干式或湿式蚀刻来移除,但较佳使用湿式蚀刻来移除金属层226,以避免损伤其下层的栅极介电层224。移除此虚置栅极后,便露出栅极介电层224。 
请参照图8,填入金属材料层244至上述虚置栅极移除后所留下的开口中,此金属材料可具有适合用以形成PMOS装置的功函数。此外,较佳的金属材料层244具有低电阻率与良好的间隙填充能力(Gap filling)。在一个具体实施例中,金属材料层244可包括用来实现所需的功函数的金属层及用来改善间隙填充能力的金属层。其中用来实现所需的功函数的金属层较佳具有约介于1nm与5nm之间的厚度,而用来改善间隙填充能力的金属层较佳包含例如钨(W)的具有良好的间隙填充能力的材料。金属材料层244(假如金属材料层244包含超过一层,则为用来改善间隙填充能力的金属层)的较佳功函数约介于4.9eV与5.2eV之间,且更佳约为接近能带边缘功函数的5.2eV。上述具体的材料包含例如钨(W)及氮化钨(WN)的含有钨的材料、例如钌(Ru)及钌氧化物的含有钌的材料、以及例如钼(Mo)及氮化钼(MoN)的含有钼的材料或其组合。另外,可选择在金属材料层244的形成步骤之前,先形成黏着层246,以改善金属材料层244与栅极介电层224之间的黏着性,其中黏着层246可包含氮化钛(TiN)、氮化钽(TaN)及氮化硅钽(TaSiN)或其组合。且黏着层246的厚度较佳约介于1nm与5nm之间。为了简化,黏着层246未显示于后续的附图中。此外,黏着层246与金属材料层244的形成方法包括原子层沉积(ALD);物理气相沉积(PVD);有机金属化学气相沉积(MOCVD)或类似的方法。更佳的方法为低温的形成法,可降低上述所形成的栅极电极的功函数的偏移。 
图9显示过量的金属材料层244的移除,可通过化学机械研磨或湿式蚀刻来完成。在移除金属材料层244后,也会露出多晶硅层128。最后余留下来的金属材料层244,便成为上述所形成的PMOS装置中的栅极电极248。 
随后将多晶硅层128的顶部的部分硅化。请参照图10,毯覆式沉积薄的金属层50并加热,以形成如图11所示的硅化层152。然后再移除未反应的金属层50。此外,因为硅化层152及源极/漏极硅化区141与241是分开来形成的,所以它们可能包含不同的金属硅化物(salicide)。例如,源极/漏极硅化区141与241可能包含热稳定的金属,而硅化层152可能包含具有良好的阻值下降特性的金属。 
图12显示将涂布层42移除。在由氧化物所形成的涂布层42的例子中,可使用稀释的氢氟酸(HF)移除涂布层42。如果涂布层42是由光致抗蚀剂所 形成的,则使用标准的去光致抗蚀剂工艺来移除涂布层42。接下来,如图13所示,在后续的步骤中,形成接触蚀刻停止层(CESL)54及层间介电层56,其中接触蚀刻停止层54较佳具有固有应力。由于详细的接触蚀刻停止层54为公知的技术,因此,此处不再重复叙述。本领域技术人员将可了解,接触蚀刻停止层54可在NMOS区100中形成第一部分,以及在PMOS区200中形成第二部分,且上述第一及第二部分可具有不同的应力。值得注意的是,因为接触蚀刻停止层54是在栅极电极的形成步骤之后形成的,所以有部分的接触蚀刻停止层54直接覆盖于多晶硅层128与栅极电极248上,且此部分还与覆盖于所有的上述NMOS装置160与PMOS装置260的各自的源极与漏极区上的部分接触蚀刻停止层54桥接。因此,相比于传统的后栅极工艺方法,较大的应力可通过接触蚀刻停止层54施加到NMOS装置160与PMOS装置260的沟道区。 
上述NMOS装置160的栅极电极的功函数是由金属层126的功函数所决定,其中金属层126与栅极电极248中的金属不同。因此,在NMOS装置160与PMOS装置260中的栅极电极都可具有能带边缘的功函数,以供适当的材料使用。在此较佳实施例中,使用前栅极工艺法作为NMOS装置160的金属栅极的形成方法,此工艺方法节省了移除虚置栅极、填入金属材料及化学机械研磨等工艺的成本。另一方面,使用后栅极工艺法作为PMOS装置260的金属栅极的形成方法。此外,因为栅极电极248是在轻掺杂区136及236与源极/漏极区138及238的活化与形成步骤之后形成的,所以施加在栅极电极248的热预算是很低的,因而降低栅极电极248的功函数的偏移。值得注意的是,NMOS装置160的金属层126,即使在高温下退火仍然相当的稳定,且具有明显较低的功函数偏移。 
虽然本发明已以较佳实施例公开如上,但是其并非用以限定本发明,任何本领域技术人员在不脱离本发明的精神和范围内可做改动与润饰,因此本发明的保护范围当视随附的权利要求所界定的范围为准。 

Claims (14)

1.一种半导体结构,包括:
半导体基底;
第一导电型的第一金属氧化物半导体装置,包括:
第一栅极介电层,形成于该半导体基底上;
含有金属的第一栅极电极层,形成于该第一栅极介电层上,其中该含有金属的第一栅极电极层包括一金属层与一多晶硅层,该金属层形成于该第一栅极介电层上,而该多晶硅层形成于该金属层之上;以及
硅化层,形成于该多晶硅层上;
以及,第二导电型的第二金属氧化物半导体装置,其导电型与该第一导电型相反,包括:
第二栅极介电层,形成于该半导体基底上;
含有金属的第二栅极电极层,形成于该第二栅极介电层上;以及
接触蚀刻停止层,具有一部分形成于该含有金属的第二栅极电极层上,其中介于该接触蚀刻停止层的该部分与该含有金属的第二栅极电极层之间的区域不含硅,且该含有金属的第一栅极电极层中的金属与该含有金属的第二栅极电极层中的金属不同。
2.如权利要求1所述的半导体结构,其中该接触蚀刻停止层包含一部分形成于该硅化层上。
3.如权利要求1所述的半导体结构,其中该含有金属的第一栅极电极层具有小于4.4eV的功函数,且其中该含有金属的第二栅极电极层具有大于4.9eV的功函数。
4.如权利要求1所述的半导体结构,其中该含有金属的第一栅极电极层包含钽。
5.如权利要求1所述的半导体结构,其中该第一金属氧化物半导体装置还包含至少黏着层及覆盖层其中之一,且其中该黏着层介于该第一栅极介电层与该含有金属的第一栅极电极层之间,且该覆盖层形成于该含有金属的第一栅极电极层上。
6.如权利要求1所述的半导体结构,其中该第二金属氧化物半导体装置还包含黏着层,该黏着层介于该第二栅极介电层与该含有金属的第二栅极电极层之间。
7.如权利要求1所述的半导体结构,其中该第一金属氧化物半导体装置还包括:
源极/漏极区,邻接该第一栅极介电层;
硅化区,形成于该源极/漏极区上,其中该硅化区与该硅化层包含不同的金属。
8.如权利要求1所述的半导体结构,其中该第一金属氧化物半导体装置为N型金属氧化物半导体装置,且该第二金属氧化物半导体装置为P型金属氧化物半导体装置。
9.一种半导体结构,包括:
半导体基底;
N型金属氧化物半导体装置,包括:
第一栅极介电层,形成于该半导体基底上;
含有金属的第一栅极电极层,形成于该第一栅极介电层上,其中该含有金属的第一栅极电极层包括一金属层与一多晶硅层,该金属层形成于该第一栅极介电层上,而该多晶硅层形成于该金属层之上;
硅化层,形成于该多晶硅层上;以及
第一接触蚀刻停止层,其具有一部分在该硅化层上,且以物理接触该硅化层;
以及,P型金属氧化物半导体装置,包括:
第二栅极介电层,形成于该半导体基底上;
含有金属的第二栅极电极层,形成于该第二栅极介电层上,其中该含有金属的第一栅极电极层具有第一功函数,且该第一功函数与该含有金属的第二栅极电极层的第二功函数不同,且该含有金属的第一栅极电极层中的金属与该含有金属的第二栅极电极层中的金属不同;以及
第二接触蚀刻停止层,具有一部分形成于该含有金属的第二栅极电极层上,且以物理接触该含有金属的第二栅极电极层。
10.如权利要求9所述的半导体结构,其中该第一功函数低于该第二功函数。
11.如权利要求10所述的半导体结构,其中该第一功函数低于4.4eV,且该第二功函数大于4.9eV。
12.如权利要求10所述的半导体结构,其中该含有金属的第一栅极电极层包含钽,且该含有金属的第二栅极电极层包含金属,该金属择自由钨、钌及钼或其组合所组成的族群。
13.如权利要求10所述的半导体结构,其中该N型金属氧化物半导体装置还包括:
源极/漏极区,邻接该第一栅极介电层;以及
硅化区,形成于该多晶硅层上,其中该硅化层与该硅化区包含不同的金属。
14.如权利要求9所述的半导体结构,其中该第一与该第二接触蚀刻停止层属于同一层。
CN 200810002671 2007-01-23 2008-01-14 半导体结构 Expired - Fee Related CN101232021B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/656,711 US7812414B2 (en) 2007-01-23 2007-01-23 Hybrid process for forming metal gates
US11/656,711 2007-01-23

Publications (2)

Publication Number Publication Date
CN101232021A CN101232021A (zh) 2008-07-30
CN101232021B true CN101232021B (zh) 2011-02-02

Family

ID=39640408

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 200810002671 Expired - Fee Related CN101232021B (zh) 2007-01-23 2008-01-14 半导体结构

Country Status (3)

Country Link
US (2) US7812414B2 (zh)
CN (1) CN101232021B (zh)
TW (1) TWI379385B (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8629021B2 (en) * 2007-11-02 2014-01-14 Texas Instruments Incorporated Integration scheme for an NMOS metal gate
US7812414B2 (en) * 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
JP4458129B2 (ja) * 2007-08-09 2010-04-28 ソニー株式会社 半導体装置およびその製造方法
US8097500B2 (en) * 2008-01-14 2012-01-17 International Business Machines Corporation Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
US8685811B2 (en) * 2008-01-14 2014-04-01 United Microelectronics Corp. Method for manufacturing a CMOS device having dual metal gate
WO2009101763A1 (ja) * 2008-02-12 2009-08-20 Panasonic Corporation 半導体装置及びその製造方法
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
JP5147471B2 (ja) * 2008-03-13 2013-02-20 パナソニック株式会社 半導体装置
JP5295651B2 (ja) * 2008-06-13 2013-09-18 株式会社東芝 乱数生成装置
US8294216B2 (en) 2008-08-14 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating the formation of I/O and core MOS devices with MOS capacitors and resistors
US8324090B2 (en) * 2008-08-28 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve dielectric quality in high-k metal gate technology
US8153498B2 (en) * 2008-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Downsize polysilicon height for polysilicon resistor integration of replacement gate process
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
EP2197028A1 (en) * 2008-10-14 2010-06-16 Imec Method for fabricating a dual workfunction semiconductor device and the device made thereof
US9024299B2 (en) * 2008-10-14 2015-05-05 Imec Method for fabricating a dual work function semiconductor device and the device made thereof
US7923321B2 (en) * 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
TWI451531B (zh) * 2008-12-17 2014-09-01 United Microelectronics Corp 降低閘極漏電流並控制啟始電壓偏移量之方法及互補式金氧半導體裝置
US8617946B2 (en) * 2009-11-11 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including metal gates and fabrication methods thereof
DE102009055435B4 (de) * 2009-12-31 2017-11-09 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
CN102456621A (zh) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 半导体器件结构和制作该半导体器件结构的方法
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8338242B2 (en) * 2011-03-31 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Backside bevel protection
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US8921944B2 (en) 2011-07-19 2014-12-30 United Microelectronics Corp. Semiconductor device
US20130032876A1 (en) 2011-08-01 2013-02-07 International Business Machines Corporation Replacement Gate ETSOI with Sharp Junction
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8658487B2 (en) * 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US20130175619A1 (en) 2012-01-06 2013-07-11 International Business Machines Corporation Silicon-on-insulator transistor with self-aligned borderless source/drain contacts
US20130241007A1 (en) 2012-03-15 2013-09-19 International Business Machines Corporation Use of band edge gate metals as source drain contacts
US20130270647A1 (en) * 2012-04-17 2013-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for nfet with high k metal gate
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8772120B2 (en) 2012-05-24 2014-07-08 United Microelectronics Corp. Semiconductor process
US8957470B2 (en) * 2012-06-19 2015-02-17 Globalfoundries Singapore Pte. Ltd. Integration of memory, high voltage and logic devices
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
CN103515235A (zh) * 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
CN103531539B (zh) * 2012-07-02 2015-12-16 中芯国际集成电路制造(上海)有限公司 Cmos管的形成方法
US9093303B2 (en) * 2012-07-19 2015-07-28 Texas Instruments Incorported Spacer shaper formation with conformal dielectric film for void free PMD gap fill
CN103579111B (zh) * 2012-07-26 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种金属栅半导体器件的制造方法
JP6026914B2 (ja) * 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9006072B2 (en) 2013-03-14 2015-04-14 United Microelectronics Corp. Method of forming metal silicide layer
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
CN105097688B (zh) * 2014-05-09 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105097534B (zh) * 2014-05-12 2018-08-21 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9570568B2 (en) * 2015-05-28 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and method for fabricating the same
US9583584B2 (en) * 2015-07-10 2017-02-28 GlobalFoundries, Inc. Methods for producing integrated circuits using long and short regions and integrated circuits produced from such methods
US9589806B1 (en) * 2015-10-19 2017-03-07 Globalfoundries Inc. Integrated circuit with replacement gate stacks and method of forming same
US10867852B2 (en) * 2015-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
US10840350B2 (en) * 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4974056A (en) 1987-05-22 1990-11-27 International Business Machines Corporation Stacked metal silicide gate structure with barrier
JP3308717B2 (ja) 1994-07-21 2002-07-29 キヤノン株式会社 記録装置及び記録方法
JPH11330468A (ja) 1998-05-20 1999-11-30 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6392302B1 (en) 1998-11-20 2002-05-21 Micron Technology, Inc. Polycide structure and method for forming polycide structure
JP3544488B2 (ja) * 1999-03-23 2004-07-21 新日本製鐵株式会社 ステンレス極薄箔
US6373111B1 (en) 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
JP2001210726A (ja) 2000-01-24 2001-08-03 Hitachi Ltd 半導体装置及びその製造方法
US6674102B2 (en) * 2001-01-25 2004-01-06 International Business Machines Corporation Sti pull-down to control SiGe facet growth
US20030128384A1 (en) 2002-01-04 2003-07-10 Nelson Dean S. Dynamic load-balancing print server systems and methods
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
KR100487525B1 (ko) 2002-04-25 2005-05-03 삼성전자주식회사 실리콘게르마늄 게이트를 이용한 반도체 소자 및 그 제조방법
JP4065504B2 (ja) 2002-07-17 2008-03-26 キヤノン株式会社 画像形成システム、画像配信装置、及び画像形成方法
JP2004152995A (ja) * 2002-10-30 2004-05-27 Toshiba Corp 半導体装置の製造方法
US7019351B2 (en) 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
US7316950B2 (en) 2003-04-22 2008-01-08 National University Of Singapore Method of fabricating a CMOS device with dual metal gate electrodes
US20040256679A1 (en) 2003-06-17 2004-12-23 Hu Yongjun J. Dual work function metal gates and method of forming
US6936508B2 (en) * 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US20050082605A1 (en) * 2003-10-17 2005-04-21 Semiconductor Leading Edge Technologies, Inc. Semiconductor device and method for manufacturing semiconductor device
BE1015721A3 (nl) 2003-10-17 2005-07-05 Imec Inter Uni Micro Electr Werkwijze voor het reduceren van de contactweerstand van de aansluitgebieden van een halfgeleiderinrichting.
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
US7160767B2 (en) 2003-12-18 2007-01-09 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
US7225739B2 (en) 2004-01-21 2007-06-05 Silverbrook Research Pty Ltd Drying system for use in a printing system
US7119404B2 (en) * 2004-05-19 2006-10-10 Taiwan Semiconductor Manufacturing Co. Ltd. High performance strained channel MOSFETs by coupled stress effects
US7098516B2 (en) * 2004-05-24 2006-08-29 Texas Instruments Incorporated Refractory metal-based electrodes for work function setting in semiconductor devices
US20050285208A1 (en) * 2004-06-25 2005-12-29 Chi Ren Metal gate electrode for semiconductor devices
US7157378B2 (en) 2004-07-06 2007-01-02 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7129548B2 (en) * 2004-08-11 2006-10-31 International Business Machines Corporation MOSFET structure with multiple self-aligned silicide contacts
US7381608B2 (en) 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
US7109079B2 (en) 2005-01-26 2006-09-19 Freescale Semiconductor, Inc. Metal gate transistor CMOS process and method for making
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
JP2007134456A (ja) * 2005-11-09 2007-05-31 Toshiba Corp 半導体装置の製造方法
JP4557879B2 (ja) * 2005-12-09 2010-10-06 株式会社東芝 半導体装置及びその製造方法
US8101485B2 (en) 2005-12-16 2012-01-24 Intel Corporation Replacement gates to enhance transistor strain
US7432567B2 (en) 2005-12-28 2008-10-07 International Business Machines Corporation Metal gate CMOS with at least a single gate metal and dual gate dielectrics
US7445976B2 (en) 2006-05-26 2008-11-04 Freescale Semiconductor, Inc. Method of forming a semiconductor device having an interlayer and structure therefor
US7378713B2 (en) 2006-10-25 2008-05-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with dual-metal gate structures and fabrication methods thereof
US7812414B2 (en) 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
US7915111B2 (en) 2007-08-08 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-K/dual metal gate
US20090152636A1 (en) 2007-12-12 2009-06-18 International Business Machines Corporation High-k/metal gate stack using capping layer methods, ic and related transistors

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2006-165068A 2006.06.22

Also Published As

Publication number Publication date
US8836038B2 (en) 2014-09-16
US20110001194A1 (en) 2011-01-06
TW200832618A (en) 2008-08-01
US20080173947A1 (en) 2008-07-24
TWI379385B (en) 2012-12-11
CN101232021A (zh) 2008-07-30
US7812414B2 (en) 2010-10-12

Similar Documents

Publication Publication Date Title
CN101232021B (zh) 半导体结构
US10658492B2 (en) Polysilicon design for replacement gate technology
CN103311247B (zh) 半导体器件及其制造方法
CN101661957B (zh) 具有掺杂导电金属氧化物作为栅电极的cmos器件结构和方法
US8004047B2 (en) Semiconductor devices and methods of manufacture thereof
US9059312B2 (en) Semiconductor device and manufacturing method of semiconductor device
EP2257977B1 (en) Method for manufacturing an integrated circuit having long and short channel metal gate devices
US8609484B2 (en) Method for forming high-K metal gate device
US8853024B2 (en) Method of manufacturing semiconductor device
US20090090977A1 (en) Resistor and fet formed from the metal portion of a mosfet metal gate stack
US20070296052A1 (en) Methods of forming silicide regions and resulting MOS devices
KR20080032220A (ko) 완전 변환된 반도체 금속 합금에 의한 금속 게이트mosfet
WO2006067107A1 (en) Transistor device and method of manufacture thereof
CN104916542A (zh) 半导体器件的结构及其制造方法
WO2012100463A1 (zh) 一种形成半导体结构的方法
CN101471303A (zh) 双工函数半导体元件及其制法
US8299536B2 (en) Semiconductor device having transistors each having gate electrode of different metal ratio and production process thereof
JP2009181978A (ja) 半導体装置およびその製造方法
CN102237277B (zh) 半导体器件及其形成方法
WO2014015449A1 (zh) 半导体器件及其制造方法
CN102655094B (zh) 一种半导体结构及其制造方法
JP2007180354A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110202