CN101194340A - 使用电极片独立运动的蚀刻率均一性的改进 - Google Patents

使用电极片独立运动的蚀刻率均一性的改进 Download PDF

Info

Publication number
CN101194340A
CN101194340A CNA2006800208380A CN200680020838A CN101194340A CN 101194340 A CN101194340 A CN 101194340A CN A2006800208380 A CNA2006800208380 A CN A2006800208380A CN 200680020838 A CN200680020838 A CN 200680020838A CN 101194340 A CN101194340 A CN 101194340A
Authority
CN
China
Prior art keywords
bottom electrode
ground connection
plasma
plasma reactor
connection extension
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800208380A
Other languages
English (en)
Other versions
CN101194340B (zh
Inventor
金智洙
崔大汉
S·M·列扎·萨贾迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101194340A publication Critical patent/CN101194340A/zh
Application granted granted Critical
Publication of CN101194340B publication Critical patent/CN101194340B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一种等离子体反应器、包括室、底部电极、顶部电极,邻近且基本上环绕底部电极的底部接地扩展部。顶部接地扩展部邻近且基本上平行于顶部电极。顶部电极也接地。顶部接地扩展部能够被独立地升高或降低,以延伸入在该底部接地扩展部上方的区域内。

Description

使用电极片独立运动的蚀刻率均一性的改进
技术领域
本发明涉及半导体制造。更具体地,本发明涉及等离子体蚀刻装置。
背景技术
典型的等离子体蚀刻装置包括反应器,其中设有一种或多种反应气体流穿过的室。在该室内,这些气体典型地通过射频能量电离为等离子体。等离子体的高反应性离子可与材料(如在连接部之间的电介质,或在半导体晶片被处理成集成电路(IC)过程中该半导体晶片表面上的聚合物掩模)反应。在蚀刻之前,晶片设在室内并且通过卡盘或者夹持件保持在适当的位置,卡盘或者夹持件将所述晶片的顶部表面暴露于等离子体。
在半导体处理中,在蚀刻处理过程中,沿晶片的蚀刻或沉积率均一性直接影响器件产量。这已经成为对处理反应器的主要资格要求之一,并因此被认为是在其设计和开发过程中非常重要的参数。随着晶片直径尺寸的每次增加,确保每批集成电路的均一性的问题变得更加困难。例如,随着晶片尺寸从200mm增加到300mm以及每块晶片上更小的电路尺寸,边缘排除区(exclusion)收缩至,例如,2mm。因此,自始至终保持距离晶片边缘2mm外区域均一的蚀刻率、形貌和临界尺寸已经变得非常重要。
在等离子体蚀刻反应器中,蚀刻参数(蚀刻率、形貌、CD等)的均一性受到多个参数的影响。保持晶片上均一的等离子体释放以及由此的在晶片上的等离子体化学成分对于改进均一性是非常关键的。设想了很多尝试,以通过操纵气体流传过喷头喷射,修改喷头的设计,以及环绕晶片设置边缘环,来改进晶片的均一性。
电容耦合蚀刻反应器中的一个问题是缺少均一的RF耦合,尤其是晶片边缘周围。图1显示了传统的电容耦合等离子体处理室100,代表典型地用于蚀刻基片的示范性等离子体处理室类型。等离子体反应器100包括室102,底部电极104,顶部电极106。底部电极104包括中间底部电极108和边缘底部电极110。顶部电极106包括中间顶部电极112和边缘顶部电极114。边缘顶部电极114和边缘底部电极110是分别环绕中间顶部电极112和中间底部电极108的环形,以形成单一平面。
中间底部电极108连接至RF电源118,而顶部电极106和边缘底部电极110接地,用于排出来自在顶部电极106和底部电极104之间产生的等离子体116的电荷。如图1所示,因为接地的边缘底部电极110,所以辉光放电区域(等离子体116)的形状在接近中间底部电极108的地方发生扭曲。扭曲导致在设置在中间底部电极108上的基片(图未示)上的非均一蚀刻率。
在等离子体处理过程中,正离子加速穿过等势场线以撞击基片表面,从而提供所需要的蚀刻效果,如改进蚀刻方向性。由于上部电极106和底部电极104的几何形状,这些场线可能沿晶片表面不均一并且可能在晶片104边缘发生显著变化。因此,通常提供接地环110以改进沿整个晶片表面的处理均一性。
因为在顶部电极106中的部件是静态的,所以蚀刻率不可能在晶片的中间和边缘分别控制。在蚀刻处理过程中的非均一性可导致在中间和边缘之间不同的尺寸,进而降低了每个晶片上可靠器件的产量。
因此,需要一种方法和装置,用于独立地控制在晶片中间和边缘的蚀刻率。本发明的主要目的是为了解决这些需要并提供更多的、相关的优点。
发明内容
一种等离子体反应器,包括室、底部电极、顶部电极、邻近且基本上环绕底部电极的底部接地扩展部。顶部接地扩展部邻近且基本上平行于顶部电极。顶部电极也接地。顶部接地扩展部能够被独立地升高或降低,以延伸入在底部接地扩展部上方的区域内。
附图说明
附图,其被并入并构成本说明书的一部分,与详细描述一起说明本发明的一个或多个实施例,用于解释本发明的原理和实现。
在这些附图中:
图1为示意性示出根据现有技术的等离子体反应器的示意图;
图2为示意性示出根据一个实施例的等离子体反应器的示意图;
图3为一个流程图,示意性地示出用于操作图2中示出的等离子体反应器的方法。
具体实施方式
此处本发明的实施例在等离子体反应室的背景中描述。本领域的技术人员将意识到本发明下面的详细描述只是说明性的并不打算以任何方式限制。本发明的其他实施例将容易地启发得益于本公开的益处的技术人员。现在将详细地参考附图中所示的本发明的实施。将贯穿这些附图和下面的详细描述使用相同的参考标号以指示相同或类似的部件。
为了清楚,未示出和描述此处所描述的实现的所有常规特征。当然,可以理解在任何这样实际的实现的改进中,必须做出许多具体实现选择以实现开发者的具体目的,如服从与应用和商业相关的约束,并且这些具体目的随着不同的实现以及不同的开发者而不同。此外,可以理解,这样的开发努力会是复杂和耗时的,但是对于获得本公开益处的本领域的一般技术人员而言仍不过是工程上的常规工作。
图2示出了等离子体反应器200一个实施例,其包括室202,底部电极208,底部电极扩展部210,顶部电极212和顶部电极扩展部214。根据一个实施例,底部电极扩展部210包括与底部电极208平行且邻近的接地环210,并且环绕底部电极208。顶部电极扩展部214包括可调节接地环214,其与顶部电极212平行且邻近,并且环绕顶部电极212。
底部电极208与RF电源218连接,而顶部电极212、顶部电极扩展部214和底部电极扩展部210接地,用于排出来自在顶部电极212和底部电极208之间产生的等离子体的电荷。作为例子,底部电极扩展部210和顶部电极扩展部212可由导电材料(如铝)制成。如图2所示,等离子体216包括两个区域220和222,其基于顶部电极扩展部214的位置(高度)而具有不同的等离子体密度。
底部电极208配置为用于接收(receive)工件并包括适于接收工件的关联的底部电极区域。底部电极208与至少一个电源218连接。电源218配置为产生RF功率,其被传递到底部电极208。仅为了说明性目的,可使用双频电源218以产生高电势,该高电势被施加到气体上以产生等离子体216。更具体地,所示出的电源218是双电源频率电源,运行在2MHz和27MHz,其包括在由LamResearch制造的蚀刻系统中。本领域的技术人员应当理解的是也可使用可在处理室202中产生等离子体的其他电源。本领域的技术人员应当理解的是本发明并不限于2MHz和27MHz的RF频率,而是可应用于广泛的频率。本发明也不限于双频电源,而是还可应用于具有三个或多个具有多种频率的RF电源的系统。
顶部电极212设置在底部电极208上方预定的距离。顶部电极212、顶部电极扩展部214,与接地扩展部210一起配置为为从底部电极208传递的功率提供完备的电路。顶部电极扩展部214可独立于顶部电极212向上或向下移动,以控制在底部电极208边缘一等离子体区域222的等离子体密度。随着在底部电极208边缘的等离子体密度变化,在那个区域的蚀刻率可独立于等离子体区域220中的蚀刻率而被控制(较快速率或较慢速率)。本领域的一般技术人员可以理解有很多方法来降低和升高顶部电极扩展部214。例如,可使用机械的或马达驱动的旋钮以升高或降低顶部电极扩展214,而不必打开和进入室202的内部。
在等离子体处理过程中,正离子加速穿过等势场线以撞击基片表面,从而提供所需要的蚀刻效果,如改进蚀刻定向性。由于上部电极212和底部电极208的几何形状,这些场线可能沿晶片表面是不均一的,并且可能在晶片边缘发生显著变化。因此,提供顶部和底部电极扩展部214和210以改进沿整个晶片表面的处理均一性。
等离子体反应器200配置为用于接收气体(未示),该气体由等离子体反应器200转变为等离子体216。通过实例而不是限制,抽入室中的相对高的气体流率是1500sccm。也可应用低于1500sccm以及1500sccm以上的气体流率。
为了在室202中产生等离子体216,使用电源218,在底部电极208和顶部电极212之间传递RF功率。然后气体被转变为等离子体216,其用于处理工件或半导体基片。通过实例而不是限制,可应用每cm3等离子体体积2W的RF功率水平。也可应用低于每cm3等离子体体积2W的RF功率水平。
为了说明性目的,图2中描述的等离子体反应器200使用电容耦合以在处理室202中产生等离子体216。本领域的技术人员应当理解,本装置和方法可适应于与电感耦合等离子体一起使用。
本领域的一般技术人员可以理解,图2中所示的上述结构不打算限制,在不背离此处公开的创造性的概念的情况下可使用其它结构。例如,可安置两个或多个邻近的顶部电极扩展部214以进一步控制在底部电极208边缘的蚀刻率。
图3示出了用于使用图2所示出的等离子体反应器的方法。在302,选择顶部电极扩展部214的位置(升高的或降低的)。顶部电极扩展部214可被升高或降低以延伸入在底部电极扩展部上方的区域内。在304,等离子体反应器200处理由底部电极208支撑的晶片。在306,检查晶片以确定贯穿晶片表面的蚀刻均一性。在308,可基于306的分析调节顶部电极扩展部214的位置以进一步改进贯穿晶片表面的蚀刻率均一性。
尽管示出和描述了本发明的实施例和应用,可以理解,对于得到本公开益处的本领域的技术人员,显而易见,在不背离此处的创新性概念的情况下,比上面提及的许多修改更多的修改是可能的。所以,本发明不限于所附权利要求的精神之内。

Claims (10)

1.一种等离子体反应器,包括:
室;
封在所述室内的底部电极和顶部电极;
底部接地扩展部,其邻近且基本上环绕所述底部电极;
顶部接地扩展部,其邻近且基本上平行于所述顶部电极;
其中,所述顶部接地扩展部能够被独立地升高和降低以延伸入所述底部接地扩展部上方的区域内。
2.根据权利要求1所述的等离子体反应器,其中,所述顶部接地扩展部包括环。
3.根据权利要求1所述的等离子体反应器,其中,所述底部接地扩展部包括环。
4.根据权利要求1所述的等离子体反应器,进一步包括与所述底部电极连接的电源,所述底部电极被配置为用于接收工件。
5.根据权利要求4所述的等离子体反应器,其中,所述电源产生到所述底部电极的多个频率。
6.根据权利要求5所述的等离子体反应器,其中,所述顶部电极接地。
7.一种使用等离子体反应器的方法,所述等离子体反应器具有室,所述室具有顶部电极、底部电极、邻近且基本上环绕所述底部电极的底部接地扩展部、邻近且基本上平行于所述顶部电极的顶部接地扩展部,所述方法包括:
调节所述顶部接地扩展部的位置,所述顶部接地扩展部能够被独立地升高和降低以延伸入所述底部接地扩展部上方的区域内。
8.根据权利要求7所述的方法,进一步包括向所述底部电极提供功率,所述底部电极被配置为用于接收工件。
9.根据权利要求8所述的方法,进一步包括产生到所述底部电极的多个频率。
10.根据权利要求7所述的方法,进一步包括将所述顶部电极接地。
CN2006800208380A 2005-06-13 2006-06-12 使用电极片独立运动的蚀刻率均一性的改进 Active CN101194340B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/152,016 US20060278339A1 (en) 2005-06-13 2005-06-13 Etch rate uniformity using the independent movement of electrode pieces
US11/152,016 2005-06-13
PCT/US2006/023114 WO2006135924A1 (en) 2005-06-13 2006-06-12 Improvement of etch rate uniformity using the independent movement of electrode pieces

Publications (2)

Publication Number Publication Date
CN101194340A true CN101194340A (zh) 2008-06-04
CN101194340B CN101194340B (zh) 2011-12-28

Family

ID=37067470

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800208380A Active CN101194340B (zh) 2005-06-13 2006-06-12 使用电极片独立运动的蚀刻率均一性的改进

Country Status (7)

Country Link
US (1) US20060278339A1 (zh)
JP (1) JP4970434B2 (zh)
KR (2) KR20130023390A (zh)
CN (1) CN101194340B (zh)
SG (1) SG162771A1 (zh)
TW (1) TWI397100B (zh)
WO (1) WO2006135924A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US20140060739A1 (en) * 2012-08-31 2014-03-06 Rajinder Dhindsa Rf ground return in plasma processing systems and methods therefor
EP3140850B1 (de) * 2014-05-09 2019-10-16 Ev Group E. Thallner GmbH Verfahren und vorrichtung zur plasmabehandlung von substraten
CN105789010B (zh) * 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
JP2020516770A (ja) 2017-04-07 2020-06-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板端部上のプラズマ密度制御

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5723227A (en) * 1980-07-17 1982-02-06 Nippon Telegr & Teleph Corp <Ntt> Plasma etching device
JPS61164271U (zh) * 1985-04-01 1986-10-11
JPH03138382A (ja) * 1989-10-20 1991-06-12 Nissin Electric Co Ltd 反応性イオンエッチング装置
US5508881A (en) * 1994-02-01 1996-04-16 Quality Microcircuits Corporation Capacitors and interconnect lines for use with integrated circuits
TW299559B (zh) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5585012A (en) * 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation
JP2953974B2 (ja) * 1995-02-03 1999-09-27 松下電子工業株式会社 半導体装置の製造方法
JPH08321488A (ja) * 1995-05-26 1996-12-03 Sony Corp ドライエッチング方法及びマグネトロンrie装置
US5567640A (en) * 1996-01-11 1996-10-22 Vanguard International Semiconductor Corporation Method for fabricating T-shaped capacitors in DRAM cells
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US5705438A (en) * 1996-10-18 1998-01-06 Vanguard International Semiconductor Corporation Method for manufacturing stacked dynamic random access memories using reduced photoresist masking steps
US5731130A (en) * 1996-11-12 1998-03-24 Vanguard International Semiconductor Corporation Method for fabricating stacked capacitors on dynamic random access memory cells
US5792693A (en) * 1997-03-07 1998-08-11 Vanguard International Semiconductor Corporation Method for producing capacitors having increased surface area for dynamic random access memory
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
JPH10289881A (ja) * 1997-04-15 1998-10-27 Kokusai Electric Co Ltd プラズマcvd装置
US5895250A (en) * 1998-06-11 1999-04-20 Vanguard International Semiconductor Corporation Method of forming semicrown-shaped stacked capacitors for dynamic random access memory
US6165276A (en) * 1999-09-17 2000-12-26 United Microelectronics Corp. Apparatus for preventing plasma etching of a wafer clamp in semiconductor fabrication processes
US6432833B1 (en) * 1999-12-20 2002-08-13 Micron Technology, Inc. Method of forming a self aligned contact opening
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
AU2761301A (en) * 2000-01-03 2001-07-16 Micron Technology, Inc. Method of forming a self-aligned contact opening
AU2001224729A1 (en) * 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
KR100500938B1 (ko) * 2000-12-30 2005-07-14 주식회사 하이닉스반도체 캐패시터 제조 방법
US6319767B1 (en) * 2001-03-05 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
JP2002359232A (ja) * 2001-05-31 2002-12-13 Tokyo Electron Ltd プラズマ処理装置
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6717193B2 (en) * 2001-10-09 2004-04-06 Koninklijke Philips Electronics N.V. Metal-insulator-metal (MIM) capacitor structure and methods of fabricating same
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus

Also Published As

Publication number Publication date
WO2006135924A1 (en) 2006-12-21
CN101194340B (zh) 2011-12-28
KR20130023390A (ko) 2013-03-07
JP4970434B2 (ja) 2012-07-04
KR20080019225A (ko) 2008-03-03
KR101283830B1 (ko) 2013-07-08
SG162771A1 (en) 2010-07-29
JP2008544500A (ja) 2008-12-04
US20060278339A1 (en) 2006-12-14
TWI397100B (zh) 2013-05-21
TW200713389A (en) 2007-04-01
WO2006135924A9 (en) 2007-02-22

Similar Documents

Publication Publication Date Title
CN101194340B (zh) 使用电极片独立运动的蚀刻率均一性的改进
CN101199036B (zh) 具有可调电极面积比的受约束等离子体
CN102163538B (zh) 多电感耦合等离子体反应器及其方法
CN100566502C (zh) 半导体等离子处理设备及方法
EP1446825B1 (en) Apparatus and method for improving etch rate uniformity
CN101002509B (zh) 等离子处理单元
CN110047775B (zh) 半导体装置制造设备与制造方法
CN1643642A (zh) 串联蚀刻处理室电浆制程系统
KR102529412B1 (ko) 플렉서블 웨이퍼 온도 제어부를 갖는 정전 척 (electrostatic chuck)
CN104217943A (zh) 用于处理半导体工件的设备
TWI635528B (zh) 處理腔室
KR20130072941A (ko) 플라즈마 식각 장치
US6897403B2 (en) Plasma processing method and plasma processing apparatus
KR100391063B1 (ko) 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
US9431221B2 (en) Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
CN104025279A (zh) 具有射频带输入的外围射频供给及对称的射频返回
KR101200743B1 (ko) 다중 유도결합 플라즈마 처리장치 및 방법
KR101139829B1 (ko) 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치
CN106937472A (zh) 等离子体处理装置及等离子体处理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant