CN101109074A - 在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法 - Google Patents

在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法 Download PDF

Info

Publication number
CN101109074A
CN101109074A CNA2007101280870A CN200710128087A CN101109074A CN 101109074 A CN101109074 A CN 101109074A CN A2007101280870 A CNA2007101280870 A CN A2007101280870A CN 200710128087 A CN200710128087 A CN 200710128087A CN 101109074 A CN101109074 A CN 101109074A
Authority
CN
China
Prior art keywords
flow velocity
silicoorganic compound
flow rate
former compounds
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101280870A
Other languages
English (en)
Other versions
CN101109074B (zh
Inventor
康·萨布·伊姆
开尔文·陈
纳贾拉简·热迦戈帕兰
约瑟芬·汝-伟·张刘
桑·H·安
易·正
宋印易
吴·恩奥·特·恩延
亚历山大·T·德穆斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101109074A publication Critical patent/CN101109074A/zh
Application granted granted Critical
Publication of CN101109074B publication Critical patent/CN101109074B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

通过在具有偏压电极的处理腔室内设置衬底,以及流入处理腔室一流速的一种或多种有机硅化合物和一流速的一种或多种氧化气体的混合物以通过将RF功率施加于电极而沉积起始层,提供一种沉积低介电常数膜的方法。然后递增有机硅化合物的流速到最终流速以沉积第一过渡层,在其上引入一种或多种孔原物化合物以及在沉积第二过渡层时孔原物化合物的流速递增至最终沉积速度。然后,通过流入最终流速的孔原物和有机硅直到RF功率关闭,沉积孔原物掺杂的硅氧化物层。

Description

在硅和有机前驱物的PECVD工艺中减少气相反应以沉积无缺陷起始层方法
技术领域
本发明的实施方式主要涉及集成电路的制造。更具体地,实施方式涉及用于在衬底和包括介电层的结构上沉积介电层的方法。
背景技术
从半导体器件在数十年以前引入以来,其几何结构在尺寸上显著降低。从那时起,集成电路主要遵循两年/半尺寸的原则(通常称为摩尔定律),其意味着集成到芯片上的器件数量每两年翻一番。现在的制造厂常规地生产具有0.13μm甚至0.1μm特征尺寸的器件,并且未来的厂将很快制造具有更小几何尺寸的器件。
为了进一步减小集成电路上器件的尺寸,越来越需要使用具有低电阻系数的导电材料并使用具有低介电常数(k)的绝缘体以减少相邻的金属线之间的电容耦合。一种低k材料为旋涂玻璃,诸如未掺杂的硅玻璃(USG)或者氟掺杂的硅玻璃(FSG),其可以沉积为在半导体制造工艺中的缝隙填充层。低k材料的其它实施例包括碳掺杂的硅氧化物和聚四氟乙烯。然而,器件几何尺寸的不断减小产生了对于具有更低k值的膜的需求。
在低介电常数中的近来发展已经主要集中在将硅、碳和氧原子结合到沉积膜中。获得超低介电常数的一种方法为制造有机孔原物(porogen)的混合膜和硅矩阵。在后处理期间,去除孔原物,生成多孔的低k材料。已知这些多孔膜具有比硅氧化物对下覆阻挡层更小的粘附力。粘附力的改善可通过沉积可以增强在界面处的粘附力的氧化物的起始层而获得。为了进一步改善粘附力,已经建议在起始和主要沉积步骤之间使用逐渐增加碳含量的梯度层。然而,该方法可导致引起在该膜中形成有害颗粒的不期望的气相反应,从而导致缺陷问题。因此,需要一种制造具有改善的粘附力并且没有颗粒缺陷问题的低介电常数材料的工艺。
发明内容
本发明的实施方式主要提供一种用于沉积低介电常数膜的方法。在一个实施方式中,该方法提供通过在具有偏压电极的处理腔室内设置衬底而沉积有机硅酸盐介电层;流入起始气体混合物到处理腔室中,该起始气体混合物包含一流速的一种或多种有机硅化合物和一流速的一种或多种氧化气体;通过施加射频功率到偏压电极而在衬底上沉积硅氧化物层;递增一种或多种有机硅化合物的流速直到在硅氧化物层上沉积第一过渡层时达到一种或多种有机硅化合物的最终流速;流入最终流速的一种或多种有机硅化合物,同时流入一流速的包含一种或多种孔原物化合物的气体混合物;递增一种或多种孔原物化合物的流速,直到在第一过渡层上沉积第二过渡层时达到该一种或多种孔原物化合物的最终流速;流入最终流速的一种或多种有机硅化合物和最终流速的一种或多种孔原物化合物以在第二过渡层上沉积孔原物掺杂的硅氧化物层,以及终止该射频功率。
在另一实施方式中,用于沉积低介电常数膜的方法包括起始步骤、第一过渡步骤、第二过渡步骤和沉积步骤。起始步骤包括流入起始气体混合物到处理腔室中,该起始气体混合物包含一流速的一种或多种有机硅化合物和一流速的一种或多种氧化气体;以及在所述衬底上通过施加射频功率到所述偏压电极沉积起始层。第一过渡步骤包括递增所述一种或多种有机硅化合物的所述流速,直到达到所述一种或多种有机硅化合物的最终流速;提供一流速的一种或多种氧化气体到所述处理腔室中;以及通过施加所述射频功率到所述偏压电极在所述硅氧化物上沉积第一过渡层。第二过渡步骤包括递增一种或多种孔原物化合物的所述流速,直到达到所述一种或多种孔原物化合物的最终流速;提供所述最终流速的一种或多种有机硅化合物到所述处理腔室中;提供所述流速的一种或多种氧化气体到所述处理腔室中;以及通过施加射频功率到所述偏压电极在所述第一过渡层上沉积第二过渡层。沉积步骤包含提供最终流速的一种或多种有机硅化合物到所述处理腔室中;提供最终流速的一种或多种孔原物化合物到所述处理腔室中;提供所述流速的一种或多种氧化气体到所述处理腔室中;以及通过施加所述射频功率到所述偏压电极在所述第二过渡层上沉积孔原物掺杂的硅氧化物。
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照附图中示出的实施例对以上简要所述的本发明进行更具体描述。然而,应该注意,附图中只示出了本发明典型的实施例,因此不能认为是对本发明范围的限定,因为本发明可以允许其他等同的有效实施例。
图1是示出根据本发明的实施方式的第一方法的工艺流程图;
图2是根据本发明的实施方式的先驱物的流速的图表;
图3是根据本发明的实施方式形成的有机硅酸盐介电层的截面视图;
图4是可用于实施本发明的实施方式的示例性处理腔室的截面图。
具体实施方式
根据本发明的实施方式涉及在多个台上沉积超低k纳米孔原物膜的方法。
在此引入美国专利No.6,541,367和6,596,627作为参考。这些专利描述了沉积具有低介电常数的纳米多孔硅氧化物层。纳米多孔硅氧化物层由可选地包含热不稳定有机基团的含硅/氧的材料的等离子增强化学气相沉积(PECVD)或者微波增强化学气相沉积制造,或者通过所沉积的含硅/氧的材料的可控退火以形成在硅氧化物层中均匀分布的微观孔隙。在硅氧化物层中的微观气孔的相对容积是可控的,以优选地在退火之后保持提供低介电常数的密封槽泡沫结构。纳米多孔硅氧化物层将具有低于约3.0,优选为低于约2.5的介电常数。
图1是示出根据本发明的实施方式沉积有机硅酸盐介电层的方法的流程图。通过一种或多种有机硅化合物和一种或多种具有热不稳定基团(孔原物)的不饱和的不含硅的化合物与一种或多种氧化气体反应,化学气相沉积硅/氧材料。在步骤101中,将衬底定位在能执行PECVD的处理腔室中的衬底支架上。在步骤103中,将具有包括一种或多种有机硅化合物和一种或多种氧化气体的化合物的气体混合物通过诸如喷头的气体分配盘引入到腔室中。将射频(RF)功率施加到电极,诸如喷头,以在腔室中提供等离子处理条件。气体混合物在RF功率存在下在腔室内反应以沉积牢固粘附到下层衬底上的包含硅氧化物层的起始层。
在步骤105中,在RF功率存在下,一种或多种有机硅化合物的流速以在约100mg/min./sec.和约5000mg/min./sec.之间的递增速度增加,优选地,在约1000mg/min./sec.和约2000mg/min./sec.之间,以沉积第一过渡层直到达到预定的有机硅化合物气体混合物。执行流速条件的递增,使得气体分配盘中的DC偏压的变化小于60瓦特,优选为小于30瓦特,以避免等离子体损伤(PID)。
在步骤107中,当保持预定的有机硅化合物气体混合物时,具有包括一种或多种孔原物化合物的成分的气体混合物通过气体分配盘引入到腔室中。在步骤109中,一种或多种孔原物化合物的流速以在约100mg/min./sec.和约5000mg/min./sec.之间的递增速度增加,优选地,在约200mg/min./sec.和约1000mg/min./sec.之间,以沉积第二过渡层直到达到预定的最终气体混合物。
在步骤111中,RF功率存在下,预定的最终气体混合物,具有包括一种或多种有机硅化合物和一种或多种孔原物化合物的成分的最终气体混合物在腔室内反应,以沉积具有孔原物硅氧化物层的最终层。在完成时,终止RF功率。在RF功率终止期间诸如通过不打开腔室节流阀而保持腔室压力。理论上不期望限制,一般认为通过分离有机硅化合物和孔原物化合物的递增速度,可以得到更加稳定并可制造的工艺,生产具有较少缺陷问题诸如颗粒添加物(particle adder)的有机硅酸盐介电层。有机硅酸盐介电层可具有在0.16μm以上的少于50颗粒添加物,优选为,少于25,以及更优选为少于10颗粒添加物。
在另一实施方式中,沉积第二过渡层的步骤109可结合沉积最终孔原物硅氧化物的步骤111。在该实施方式中,在孔原物硅氧化物层沉积期间,孔原物化合物流速不断递增,同时流入预定的有机硅化合物气体混合物。
图2示出了根据本发明的实施方式一种或多种有机硅化合物和一种或多种孔原物化合物的流速与时间相对的图表。具有包括一种或多种有机硅化合物和一种或多种孔原物化合物成分的气体混合物引入到腔室中以沉积起始层,如上关于图1的步骤103所述。起始层沉积可具有在约1秒和约10秒之间范围内的时间。在一个实施方式中,起始层沉积可持续约2秒。
然后,一种或多种有机硅化合物流速以递增速度增加,以沉积第一过渡层直到达到预定的有机硅化合物气体混合物,如上关于图1的步骤105所述。第一过渡层可具有在约1秒和约10秒之间范围内的时间。在一个实施方式中,第一过渡层时间可为约2秒。
当保持预定的有机硅化合物气体混合物不变时,具有包括一种或多种孔原物化合物成分的气体混合物引入到腔室中,以及一种或多种孔原物化合物的流速以递增速度增加以沉积第二过渡层,直到达到预定最终气体混合物,如上关于图1的步骤109所述。第二过渡层可具有在约1秒和约180秒之间范围内的时间。在一个实施方式中,第二过渡层时间可为约4秒。
具有包括一种或多种有机硅化合物和一种或多种孔原物化合物成分的预定最终气体混合物,在RF功率存在下在腔室内反应,以沉积包含孔原物硅氧化物层的最终层,如上关于图1的步骤111所述。最终层沉积可具有在约1秒和约180秒之间范围内的时间。在一个实施方式中,最终层沉积时间可为约58秒。
在另一实施方式中,步骤109与步骤111的结合可具有在约1秒和约180秒之间范围内的时间。
图3示意性示出了根据本发明的实施方式形成的孔原物有机硅酸盐介电层的截面视图。有机硅酸盐介电层210沉积在能执行PECVD的处理腔室中设置的衬底的表面的下一层(例如,阻挡层)220上。包括一流速的一种或多种有机硅化合物的气体混合物的等离子体形成,如上关于图1的步骤103所述,以沉积具有牢固粘附到下一层220上的硅氧化物起始层230。起始层230可沉积至在约5到约100范围内的厚度,优选为约20到约60。在沉积起始层230之后,一种或多种有机硅化合物的流速逐渐增加到预定的有机硅化合物气体混合物,使得在气体分配盘的DC偏压中的变化小于60瓦特以避免PID。
在逐渐增加一种或多种有机硅化合物的流速时,第一过渡层240沉积到起始层230上,如上关于图1的步骤107所述。第一过渡层240可沉积至在约10到约300范围内的厚度,优选为约100到约200。在达到有机硅化合物气体混合物组成时,引入一种或多种孔原物混合物流,如上关于图1的步骤107所述,以及孔原物化合物的流速逐渐增加至预定的孔原物化合物气体混合物。
当逐渐增加一种或多种孔原物化合物的流速时,第二过渡层250沉积到第一过渡层240上,如上关于图1的步骤109所述。第二过渡层250可沉积至在约10到约600范围内的厚度,优选为约100到约400。
当达到最终气体混合物组成时,包含一流速的一种或多种有机硅化合物和一流速的一种或多种孔原物混合物的最终气体混合物的等离子体形成,如上关于图1的步骤111所示,以沉积含孔原物的有机硅酸盐介电层260。含孔原物的有机硅酸盐介电层260可沉积至在约200到约1000范围内的厚度直到RF功率终止。
在另一实施方式中,沉积第二过渡层的步骤109可结合沉积最终孔原物硅氧化物层的步骤111。以这种方式,最终孔原物硅氧化物层可具有在硅氧化物中孔原物的浓度随着孔原物硅氧化物层沉积而增加的孔原物的梯度浓度。梯度层可沉积至在约50到约10,000范围内的厚度,优选为约100到约5000,直到RF功率终止。
图4示出了用于沉积碳掺杂的硅氧化物层的化学气相沉积(CVD)腔室300的截面示意图。该图基于由Applied Materials公司目前制造的PRODUCER腔室的特征。PRODUCERCVD腔室(200mm或300mm)具有可用于沉积碳掺杂的硅氧化物和其它材料的两个隔离处理区。在美国专利No.5,855,681中描述了具有两个隔离处理区的腔室,在此引入其作为参考。
沉积腔室300具有限定分离处理区318、320的腔室主体302。每个处理区318、320具有用于支撑腔室300内的衬底(未示出)的基座328。基座328典型地包括发热元件(未示出)。优选地,基座328通过杆(stem)326可移动地设置在每个处理区318、320中,其中杆326贯穿其连接到驱动系统303的腔室主体302的底部延伸。内部可移动升降杆(未示出)优选为设置在基座328中以啮合衬底的下表面。升降杆通过升降装置(未示出)啮合以在处理之前接收衬底,或者在沉积之后提升衬底以用于传递至下一操作台。
每个处理区318、320也优选地包括通过腔室盖304设置的气体分配组件308以传递气体到处理区318、320中。每个处理区的气体分配组件308通常地包括通过歧管348的气体入口通道340,该歧管348传递来自气体分配歧管319的气体通过区隔板346,然后通过喷头342。喷头342包括多个喷嘴(未示出),在处理期间气态混合物通过该多个喷嘴注入。RF(射频)源325将偏电势提供给喷头342以促使在喷头和基座328之间等离子体的产生。在等离子体增强化学气相沉积工艺期间,基座328可用作在腔室主体302内产生RF偏压的阴极。阴极电耦合至电极电源以在沉积腔室300中产生电容性的电场。典型地,在腔室主体302电性接地时,RF电压施加到阴极。施加到基座328的功率以负电压形式在衬底的上表面上引起衬底偏置。该负压用于从腔室300中形成的等离子体吸引离子到衬底的上表面。电容性电场形成偏置,其朝向衬底加速诱导形成的等离子体物质以在沉积期间提供更加垂直定向的各向异性膜,以及在清洗期间蚀刻衬底。
在处理期间,工艺气体在整个衬底表面上径向均匀分布。等离子体通过将来自RF功率源325的RF能量施加到用作偏压电极的喷头342由一种或多种工艺气体或气体混合物形成。当衬底暴露于等离子体和在其中设置的反应气体时,膜沉积发生。腔室壁312典型地接地。RF功率源325可以将单一或混合频率的RF信号提供给喷头342以增强引入到处理区318、320的任意气体的分解。
系统控制器334控制各种部件的功能诸如RF功率源325、驱动系统303、升降装置、气体分配歧管319和其它相关腔室和/或处理功能。系统控制器334执行存储在存储器338中的系统控制软件,其中在优选实施方式中存储器338为硬盘驱动,并可以包括模拟和数字输入/输出板、接口板和步进电机控制器板。光学和/或磁感应器主要用于移动及确定可移动机械装置的位置。
以上的CVD系统描述主要是为了示意性的目的,也可以采用其它等离子体系统腔室实施本发明的实施方式。
用于有机硅酸盐层沉积的前驱物和处理条件
在本文所描述的任意实施方式中,有机硅酸盐介电层由包含有机硅化合物和孔原物的工艺气体混合物沉积。有机硅酸盐层可用作介电层。介电层可在器件内的不同级别下使用。例如,介电层可用作电容层、介电绝缘层或栅介电层。有机硅酸盐层优选为低-k介电层,即,具有小于约3.0的介电常数。
多种工艺气体可用于沉积有机硅酸盐介电层,这种气体混合物的非限制性实施例在以下提供。一般地,气体混合物包括一种或多种有机硅化合物(例如,第一和第二有机硅化合物)、一种或多种孔原物化合物、载气和氧化气体。这些成分不是作为限制解释,原因在于可考虑包括诸如碳氢化合物(例如,脂肪族烃)的额外成分的许多其它气体混合物。
此处所用的术语“有机硅化合物”意欲指在有机基团中包括碳原子的含硅化合物。有机硅化合物可包括一种或多种环状有机硅化合物、一种或多种脂肪族有机硅化合物或者其组合。一些示例性的有机硅化合物包括四甲基环四硅氧烷(TMCTS)、八甲基环四硅氧烷(OMCTS)、五甲基环五硅氧烷、六甲基环三硅氧烷、甲基二乙氧基硅烷(DEMS)、二甲基二硅氧烷、四硅-2,6-二氧-4,8-二亚甲基、四甲基二硅氧烷、六甲基二硅氧烷(HMDS)、1,3-双(硅亚甲基)-二硅氧烷(1,3-bis(silanomethylene)-disiloxane)、双(1-甲基二硅氧烷基)甲烷、双(1-甲基二硅氧烷基)丙烷、六甲氧基二硅氧烷(HMDOS)、二甲基二甲氧基硅烷(DMDMOS)和二甲氧基甲基-乙烯基硅烷(DMMVS),或者其衍生物。一种或多种有机硅化合物可以约10mg/min到约5,000mg/min范围的流速,优选为约300mg/min到约3,000mg/min之间的流速引入到处理腔室。
在此使用的术语“孔原物化合物”意指具有热不稳定基团的含不饱和无硅组分,其中热不稳定基团具有与等离子体持续的氧化环境反应以形成沉积的热不稳定分子并且当随后暴露于升高的温度时热分解以形成具有低沸点的挥发性物质。热不稳定基团的挥发性物质从所沉积膜的分解和形成将在结构中留下空隙,降低结构的密度。通过热工艺选择性去除化学性反应嵌入在所沉积膜中的固体材料导致具有低介电常数的低密度膜。一些示例性孔原物化合物包括线性或环状分子诸如丁二烯、异戊二烯、环己二烯、二环庚二烯、1-甲基-4-(1-甲基乙基)-1,3-环己二烯(ATP或α萜烯)、1-甲基-4-(1-甲基乙基)-苯(甲基异丙基苯)、3-蒈烯、葑酮、苎烯、氧化环戊烯(cyclopetene oxide)、乙烯基-1,4-二噁英醚,乙烯基呋喃醚、乙烯-1,4-二噁英、乙烯基呋喃、糠酸甲酯、呋喃基甲酸酯、呋喃基乙酸酯、糠醛、二呋喃甲酮、二呋喃醚、二糠醚、呋喃和1,4-二噁英及其氟化碳衍生物。一种或多种孔原物化合物可以约10mg/min到约5,000mg/min范围的流速,优选为约500mg/min到约3,000mg/min之间的流速引入到处理腔室。
气体混合物可选地包括一种或多种载气。典型地,一种或多种载气与一种或多种有机硅化合物和一种或多种孔原物化合物一起引入到处理腔室中中。可使用的载气的实施例包括氦、氩、二氧化碳及其组合。一种或多种载气以小于约20,000sccm的流速引入到处理腔室中,部分取决于腔室内部的尺寸。优选地,载气的流量在约500sccm到约1,500sccm的范围内,更优选在约1,000sccm。在一些工艺中,在反应工艺气体引入之前,将惰性气体诸如氦或氩输入到处理腔室中以稳定腔室中的压力。
气体混合物还包括一种或多种氧化气体。适宜的氧化气体包括氧气(O2)、臭氧(O3)、氧化亚氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)及其组合。氧化气体的流量可以在约100sccm到约3,000sccm的范围内,部分取决于腔室内部的尺寸。典型地,氧化气体的流量在约100sccm到约1,000sccm范围内。氧气或含氧化合物的分解可在进入沉积腔室之前在微波腔室中和/或通过施加到腔室内工艺气体的RF功率发生。
在沉积期间,可控的等离子体典型地通过使用如图4所示的RF功率源325将RF能量施加到喷头在邻近衬底的腔室中形成。可选地,RF功率可提供到衬底支架。等离子体可使用高频RF(HFRF)功率、以及低频RF(LFRF)功率(例如,双频RF)、固定RF、脉冲RF或任何其它已知的或者待发现的等离子体产生技术产生。RF功率源325可供应在约5MHz和约300MHz之间的单一频率RF。另外,RF功率源325还可供应在约300Hz和约1,000kHz之间的单一频的LFRF以供应混合频以增强引入到工艺腔室的工艺气体反应物质的分解。RF功率可为循环或脉冲式以降低衬底的加热并促进所沉积膜中的较高孔隙度。适宜的RF功率可为在约10W到约5,000W范围的功率,优选为在约200W到约1000W范围。适宜的LFRF功率可为在约0W到约5,000W范围的功率,优选为在约0W到约200W范围内。
在沉积期间,衬底保持在约20℃和约500℃之间的温度下,优选为在约100℃和约450℃之间。沉积压力典型为在约1Torr和约20Torr之间,优选为在约4Torr和约10Torr之间。沉积速度典型为在约2,000/min和约20,000/min之间。
优选地,在沉积低介电常数薄膜之后,对该薄膜后处理。薄膜可利用热或等离子体增强的退火工艺后处理或电子束处理。在一个实施方式中,薄膜在约200℃和约400℃之间的温度下退火约2秒到约1小时,优选为约30分钟。非活性气体诸如氦、氢、氮或其混合物以100到约10,000sccm的速度引入。腔室压力保持在约2Torr和约10Torr之间。在退火期间RF功率在约13.56MHz的频率下为约200W到约1,000W,优选的衬底间隔为在约300mil和约800mil之间。在低介电常数薄膜沉积之后在200℃到约400℃之间的衬底温度下退火的低介电常数薄膜蒸发薄膜中的至少部分有机基团,在薄膜中形成空隙。可蒸发的有机基团来自在此描述的气体混合物的有机成分,诸如包含一个环和在环中的一个或多个碳-碳双键的一个或多个不含氧的碳氢化合物的环。
在另一实施方式中,低介电常数薄膜以电子束处理进行后处理。电子束处理典型地在约1到20千电子伏(KeV)下具有在每平方厘米约50和约2000的微库仑(μm/cm2)。电子束处理典型地在约室温和约450℃之间的温度下处理约1分钟到约15分钟,诸如约2分钟。优选地,电子束处理在约400℃执行约2分钟。在一个方案中,电子束处理条件包括在400℃下4.5kV、1.5mA和150μc/cm2。尽管可以使用任何电子束器件,但是一个示例性器件为可从应用材料公司购得的EBK腔室。
电子束固化工艺改善所沉积膜网络结构(network)的机械强度并还降低k值。高能电子束改变所沉积薄膜的分子网络结构中的化学键并从薄膜去除至少部分分子基团,诸如来自包含一个环和在环中的一个或多个碳-碳双键的一种或多种不含氧的碳氢化合物的环的有机成分。分子基团的去除产生薄膜内的空隙或孔,降低k值。如FTIR光谱学分析的电子束处理还通过交联的Si-O-Si或Si-C-Si链加强薄膜网络结构。
在另一实施方式中,低介电常数薄膜是通过紫外线固化工艺后处理。使用紫外线固化工艺固化的低介电常数薄膜具有所示出的改善的阻挡层属性并具有所示出的降低和最小的光阻中毒(resist poisoning)。紫外线固化工艺可在相同的处理腔室或系统中原位执行,例如,从一个腔室传递到另一腔室而不用中断真空环境。
衬底引入到可包括沉积腔室的腔室中,并且低介电常数薄膜暴露于在约0.01毫瓦/厘米2和约1瓦/厘米2之间的紫外辐射。紫外辐射可包含一范围内的紫外波长,并同时包括一个或多个的波长。适宜的紫外波长包括在约1nm和约400nm之间,并可进一步包括高达约600nm或780nm的光学波长。在约1nm和约400nm之间的紫外波长可提供在约11.48(eV)和约3.5(eV)之间的光子能量(电子伏特)。优选的紫外波长包括在约100nm和约350nm之间的波长。
另外,紫外辐射可在多个波长处、可调谐波长辐射和可调谐功率辐射或如所需的在多个波长之间的调制处应用,并可从单一UV灯输出或从应用紫外灯阵列。适宜的UV灯的实施例包括Xe填充的ZeridexTM UV灯,其发出约172nm波长的紫外辐射或Ushio Excimer UV灯,或Hg Arc灯,其发出波形的紫外辐射。所沉积的硅碳化物层暴露于紫外辐射在约10秒和约600秒之间的时间。
在处理期间,处理腔室的温度可保持在约0℃与约450℃之间,例如,在约20℃与约400℃之间的摄氏度,例如约25℃,和在真空下的腔室压力,例如小于约1mTorr直到约大气压力,即,760Torr,例如在约100Torr。紫外辐射源可距离衬底表面在约100mil和约600mil之间的距离。可选地,处理气体可在紫外固化工艺期间引入。适宜的处理气体包括氧气(O2)、氮气(N2)、氢气(H2)、氦(He)、氩(Ar)、水蒸气(H2O),一氧化碳、二氧化碳,碳氢化合物气体,碳氟化合物气体和氟化的碳氢化合物气体或者其结合。碳氢化合物可具有化学式CxHy、CxFy、CxFyHz,或其结合,其中x是在1和6之间的整数,y是在4和14之间的整数,以及z是在1和3之间的整数。
实施例
含孔原物的有机硅酸盐介电层可根据以上关于图1所述的实施方式沉积在衬底上。薄膜可使用可从加州的Santa Clara的应用材料公司购得的PRODUCE系统上的PECVD腔室(即,CVD腔室)进行沉积。在沉积期间,腔室压力保持在约4.5Torr的压力下以及衬底保持在约350℃的温度下。衬底距离气体分配喷头300mil设置。
衬底放置在在工艺腔室内设置的衬底支架上。对于界面层具有1000sccm氦和700sccm氧的初始气体成分的工艺气体混合物引入到腔室中以及在RF功率起始之前稳定流速。随后,约500W的RF功率施加到喷头以形成包括约300mg/min的OMCTS流速的界面工艺气体混合物成分的等离子体以沉积硅氧化物起始层。在RF功率起始约2秒后,OMCTS的流速以约1500mg/min./sec.的递增速度增加约2秒。另外,O2的流速以约500sccm/sec递减速度降低。
在达到并保持约2000mg/min的最终OMCTS流速时,环己二烯的流速以约375mg/min./sec.的递增速度引入到腔室中超过4秒的时间以达到约1500mg/min./sec.的孔原物沉积流速。最终气体混合成分还包括900sccm的氦和160sccm的氧气。在达到含孔原物的有机硅酸盐介电层的所需厚度约58秒之后,RF功率(RF和LFRF)终止以停止进一步的沉积。在RF功率终止后,腔室节流阀打开以允许工艺气体混合物从腔室抽出。比较有机硅酸盐介电层的分析表示在0.16μm以上的约7颗粒添加物。
比较有机硅酸盐介电层使用如所述相同的工艺参数合成,但是关于同时具有的OMCTS和环己二烯,分别与750mg/min./sec.的递增速度和375mg/min./sec.递增速度相反。比较有机硅酸盐介电层的分析表示在0.16μm以上的约74000颗粒添加物。
可以实施以上实施例的许多变型。例如,可使用其它有机硅前驱物、孔原物前驱物、氧化气体和惰性气体。另外,可以采用不同的流速和/或变化速度。在一个实施例中,TMCTS可取代OMCTS用作有机硅前驱物。在另一实施例中,有机硅前驱物可包括三甲基硅烷流以及OMCTS流。在另一实施例中,二环庚二烯可取代环己二烯用作孔原物前驱物。
变化的孔原物转变流速和孔原物递减速度的实施例以及它们对颗粒添加物总数的影响在以下表中示出。孔原物转变流速和孔原物递减速度的增加导致具有在0.16μm以上的较多颗粒添加物的有机硅酸盐介电层。
孔原物转变流速    孔原物变化速度     总颗粒添加物
(mg/min.)         (mg/min./sec.)
400               400                1
400               500                3
400               650                48
600               400                55
600               650                23
600               700                14955
600               800                8949
虽然前述针对本发明的实施方式,但是在不脱离本发明的基本范围的情况下,可以设计本发明的其它和进一步的实施方式,并且本发明的范围由以下的权利要求书所确定。

Claims (20)

1.一种沉积有机硅酸盐介电层的方法,包含:
在处理腔室内设置衬底,所述处理腔室具有偏压电极;
流入起始气体混合物到所述处理腔室中,所述起始气体混合物包含一流速的一种或多种有机硅化合物和一流速的一种或多种氧化气体;
在所述衬底上通过将射频功率施加于所述偏压电极沉积起始层;
递增所述一种或多种有机硅化合物的所述流速,直到在所述起始层上沉积第一过渡层时达到所述一种或多种有机硅化合物的最终流速;
流入最终流速的所述一种或多种有机硅化合物,同时流入一流速的包含一种或多种孔原物化合物的气体混合物;
递增所述一种或多种孔原物化合物的所述流速,直到在所述第一过渡层上沉积第二过渡层时达到所述一种或多种孔原物化合物的最终流速;以及
终止所述射频功率。
2.根据权利要求1所述的方法,其特征在于,所述一种或多种有机硅化合物选自四甲基环四硅氧烷、八甲基环四硅氧烷、五甲基环五硅氧烷、六甲基环三硅氧烷、甲基二乙氧基硅烷、二甲基二硅氧烷、四硅-2,6-二氧-4,8-二亚甲基、四甲基二硅氧烷、六甲基二硅氧烷、1,3双(硅亚甲基)-二硅氧烷(1,3-bis(silanomethylene)-disiloxane)、双(1-甲基二硅氧烷基)甲烷、双(1-甲基二硅氧烷基)丙烷、六-甲氧基-二-硅氧烷、二甲基二甲氧基硅烷和二甲氧基甲基-乙烯基硅烷。
3.根据权利要求1所述的方法,其特征在于,所述一种或多种孔原物化合物选自环己二烯、二环庚二烯、1-甲基-4-(1-甲基乙基)-1,3-环己二烯、1-甲基-4-(1-甲基乙基)-苯、3-蒈烯、葑酮、苎烯、氧化环戊烯、乙烯基-1,4-二噁英醚,乙烯基呋喃醚、乙烯基-1,4-二噁英、乙烯基呋喃、糠酸甲酯、呋喃基甲酸酯、呋喃基乙酸酯、糠醛、二呋喃甲酮、二呋喃醚、二糠醚、呋喃和1,4-二噁英。
4.根据权利要求1所述的方法,其特征在于,所述一种或多种氧化气体选自臭氧、氧气、二氧化碳、一氧化碳、水、一氧化二氮、2,3-丁二酮及其组合。
5.根据权利要求1所述的方法,其特征在于,进一步包括后处理所述低介电常数膜。
6.根据权利要求2所述的方法,其特征在于,所述一种或多种有机硅化合物包含八甲基环四硅氧烷以及所述一种或多种孔原物化合物包含1-甲基-4-(1-甲基乙基)-1,3-环己二烯。
7.根据权利要求1所述的方法,其特征在于,进一步包括流入所述最终流速的一种或多种有机硅化合物和所述最终流速的一种或多种孔原物化合物以在所述第二过渡层上沉积孔原物掺杂的硅氧化物层。
8.根据权利要求1所述的方法,其特征在于,递增所述一种或多种有机硅化合物的所述流速包含在约100mg/min./sec.和约5000mg/min./sec.之间的递增速度。
9.根据权利要求8所述的方法,其特征在于,递增所述一种或多种孔原物化合物的所述流速包含在约100mg/min./sec.和约5000mg/min./sec.之间的递增速度。
10.根据权利要求9所述的方法,其特征在于,递增所述一种或多种有机硅化合物的所述流速在约1秒和约10秒之间范围内的时间周期内执行。
11.根据权利要求10所述的方法,其特征在于,递增所述一种或多种孔原物化合物的所述流速在约1秒和约10秒之间范围内的时间周期执行。
12.一种沉积低介电常数膜的方法,包含:
在材料腔室内设置衬底,所述处理腔室具有偏压电极;
起始步骤包含:
流入起始气体混合物到所述处理腔室中,所述起始气体混合物包含一流速的一种或多种有机硅化合物和一流速的一种或多种氧化气体;以及
在所述衬底上通过将射频功率施加于所述偏压电极而沉积起始层;
第一过渡步骤包含:
递增所述一种或多种有机硅化合物的所述流速,直到达到所述一种或多种有机硅化合物的最终流速;
提供一流速的所述一种或多种氧化气体到所述处理腔室中;以及
在所述硅氧化物上通过将所述射频功率施加于所述偏压电极而沉积第一过渡层;
第二过渡步骤包含:
提供所述最终流速的一种或多种有机硅化合物到所述处理腔室中;
提供所述流速的一种或多种氧化气体到所述处理腔室中;
提供一流速的一种或多种孔原物化合物到所述处理腔室中;
递增所述一种或多种孔原物化合物的所述流速,直到达到所述一种或多种孔原物化合物的最终流速;
在所述第一过渡层上通过将所述射频功率施加于所述偏压电极而沉积第二过渡层;以及
沉积步骤包含:
提供所述最终流速的一种或多种有机硅化合物到所述处理腔室中;
提供所述最终流速的一种或多种孔原物化合物到所述处理腔室中;
提供所述流速的一种或多种氧化气体到所述处理腔室中;
在所述第二过渡层上通过将所述射频功率施加于所述偏压电极而沉积孔原物掺杂的硅氧化物。
13.根据权利要求12所述的方法,其特征在于,所述所述一种或多种有机硅化合物选自四甲基环四硅氧烷、八甲基环四硅氧烷、五甲基环五硅氧烷、六甲基环三硅氧烷、甲基二乙氧基硅烷、二甲基二硅氧烷、四硅-2,6-二氧-4,8-二亚甲基、四甲基二硅氧烷、六甲基二硅氧烷、1,3-双(硅亚甲基)-二硅氧烷(1,3-bis(silanomethylene)-disiloxane)、双(1-甲基二硅氧烷基)甲烷、双(1-甲基而硅氧烷基)丙烷、六-甲氧基-二-硅氧烷、二甲基二甲氧基硅烷(DMDMOS)和二甲氧基甲基-乙烯基硅烷。
14.根据权利要求12所述的方法,其特征在于,所述一种或多种孔原物化合物选自环己二烯、二环庚二烯、1-甲基-4-(1-甲基乙基)-1,3-环己二烯、1-甲基-4-(1-甲基乙基)-苯、3-蒈烯、葑酮、苎烯、氧化环戊烯、乙烯基-1,4-二噁英醚,乙烯基呋喃醚、乙烯基-1,4-二噁英、乙烯基呋喃、糠酸甲酯、呋喃基甲酸酯、呋喃基乙酸酯、糠醛、二呋喃甲酮、二呋喃醚、二糠醚、呋喃和1,4-二噁英。
15.根据权利要求12所述的方法,其特征在于,所述一种或多种氧化气体选自臭氧、氧气、二氧化碳、一氧化碳、水、一氧化二氮、2,3-丁二酮及其组合。
16.根据权利要求12所述的方法,其特征在于,进一步包括后处理所述低介电常数膜。
17.根据权利要求13所述的方法,其特征在于,所述一种或多种有机硅化合物包含八甲基环四硅氧烷以及所述一种或多种孔原物化合物包含1-甲基-4-(1-甲基乙基)-1,3-环己二烯。
18.根据权利要求12所述的方法,其特征在于,所述低介电常数膜包含在0.16μm以上的少于25颗粒添加物。
19.根据权利要求12所述的方法,其特征在于,递增所述一种或多种有机硅化合物的所述流速包含在约100mg/min./sec.和约5000mg/min./sec.之间的递增速度。
20.根据权利要求12所述的方法,其特征在于,递增所述一种或多种孔原物化合物的所述流速包含在约100mg/min./sec.和约5000mg/min./sec.之间的递增速度。
CN2007101280870A 2006-07-07 2007-07-09 在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法 Expired - Fee Related CN101109074B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/483,842 2006-07-07
US11/483,842 US7297376B1 (en) 2006-07-07 2006-07-07 Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers

Publications (2)

Publication Number Publication Date
CN101109074A true CN101109074A (zh) 2008-01-23
CN101109074B CN101109074B (zh) 2010-12-15

Family

ID=38690888

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101280870A Expired - Fee Related CN101109074B (zh) 2006-07-07 2007-07-09 在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法

Country Status (4)

Country Link
US (1) US7297376B1 (zh)
KR (1) KR100954258B1 (zh)
CN (1) CN101109074B (zh)
TW (1) TWI351721B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102487001A (zh) * 2010-12-01 2012-06-06 中芯国际集成电路制造(上海)有限公司 提高介质层的均匀性方法
CN103210479A (zh) * 2010-11-12 2013-07-17 应用材料公司 用以降低超低k介电薄膜的黏着层厚度并提高抗破坏性的工艺
CN103794491A (zh) * 2012-10-29 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种低介电常数层的制作方法
CN103966575B (zh) * 2013-02-06 2017-09-05 东京毅力科创株式会社 基板处理装置及成膜方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7955650B2 (en) * 2007-06-07 2011-06-07 Asm Japan K.K. Method for forming dielectric film using porogen gas
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US8012887B2 (en) * 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US8753449B2 (en) 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
KR102136769B1 (ko) * 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
KR102053350B1 (ko) 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
CN105324842A (zh) * 2013-06-21 2016-02-10 环球展览公司 用于衬底和电子装置的混合屏障层
DE102013215400A1 (de) * 2013-08-06 2015-02-12 Robert Bosch Gmbh Silicat-Aerogel und Verfahren zu seiner Herstellung
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
SG11201706676SA (en) * 2015-03-13 2017-09-28 Newsouth Innovations Pty Ltd A method for processing silicon material
US10199388B2 (en) * 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
CN110476239B (zh) 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
US20220119952A1 (en) * 2020-10-20 2022-04-21 Applied Materials, Inc. Method of reducing defects in a multi-layer pecvd teos oxide film

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5129359A (en) 1988-11-15 1992-07-14 Canon Kabushiki Kaisha Microwave plasma CVD apparatus for the formation of functional deposited film with discharge space provided with gas feed device capable of applying bias voltage between the gas feed device and substrate
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2746289B2 (ja) 1989-09-09 1998-05-06 忠弘 大見 素子の作製方法並びに半導体素子およびその作製方法
JP2531906B2 (ja) 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH07245332A (ja) 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5926689A (en) 1995-12-19 1999-07-20 International Business Machines Corporation Process for reducing circuit damage during PECVD in single wafer PECVD system
US6136654A (en) 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5955724A (en) 1996-10-11 1999-09-21 Trw Inc. Laser along-body tracker comprising laser beam dithering
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
KR19990030660A (ko) 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
JP3952560B2 (ja) 1997-10-31 2007-08-01 日本ゼオン株式会社 複合フィルム
US6235650B1 (en) 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6524874B1 (en) 1998-08-05 2003-02-25 Micron Technology, Inc. Methods of forming field emission tips using deposited particles as an etch mask
US6169039B1 (en) 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6303047B1 (en) 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6251770B1 (en) 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6458720B1 (en) 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6407399B1 (en) 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6521302B1 (en) 2000-09-26 2003-02-18 Applied Materials, Inc. Method of reducing plasma-induced damage
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6518646B1 (en) 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US6602800B2 (en) 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
JP3505520B2 (ja) 2001-05-11 2004-03-08 松下電器産業株式会社 層間絶縁膜
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6570256B2 (en) 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US20030040195A1 (en) 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6677253B2 (en) 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
JP3749162B2 (ja) 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3701626B2 (ja) 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US7423166B2 (en) 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7108771B2 (en) 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7196422B2 (en) 2001-12-14 2007-03-27 Intel Corporation Low-dielectric constant structure with a multilayer stack of thin films with pores
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
WO2003083167A1 (en) * 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
KR20050004844A (ko) * 2002-05-08 2005-01-12 어플라이드 머티어리얼스, 인코포레이티드 전자 비임에 의한 저유전상수 필름의 경화 방법
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6734533B2 (en) 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
KR100505056B1 (ko) 2003-01-02 2005-07-29 삼성전자주식회사 반도체 장치의 복합막 형성 방법과, 이를 이용한 커패시터및 게이트 절연막 형성 방법
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US6911403B2 (en) 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US7060638B2 (en) 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US20050227502A1 (en) 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103210479A (zh) * 2010-11-12 2013-07-17 应用材料公司 用以降低超低k介电薄膜的黏着层厚度并提高抗破坏性的工艺
CN102487001A (zh) * 2010-12-01 2012-06-06 中芯国际集成电路制造(上海)有限公司 提高介质层的均匀性方法
CN102487001B (zh) * 2010-12-01 2013-08-14 中芯国际集成电路制造(上海)有限公司 提高介质层的均匀性方法
CN103794491A (zh) * 2012-10-29 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种低介电常数层的制作方法
CN103794491B (zh) * 2012-10-29 2019-05-24 中芯国际集成电路制造(上海)有限公司 一种低介电常数层的制作方法
CN103966575B (zh) * 2013-02-06 2017-09-05 东京毅力科创株式会社 基板处理装置及成膜方法

Also Published As

Publication number Publication date
TW200816313A (en) 2008-04-01
US7297376B1 (en) 2007-11-20
KR100954258B1 (ko) 2010-04-23
TWI351721B (en) 2011-11-01
KR20080005140A (ko) 2008-01-10
CN101109074B (zh) 2010-12-15

Similar Documents

Publication Publication Date Title
CN101109074B (zh) 在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法
JP4842251B2 (ja) 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法
CN100594259C (zh) 改善低k叠层之间粘附性的界面工程
CN101187011B (zh) 用数字式液体流量计改进低介电常数介质膜的初始层的方法
JP5312588B2 (ja) プラズマ促進化学蒸着で高い機械的諸特性を有する超低k膜を作製するための新規なケイ素前駆体
CN103210479A (zh) 用以降低超低k介电薄膜的黏着层厚度并提高抗破坏性的工艺
US20120156890A1 (en) In-situ low-k capping to improve integration damage resistance
US20080107573A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7998536B2 (en) Silicon precursors to make ultra low-K films of K&lt;2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
WO2010090038A1 (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
US20100015816A1 (en) Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101215

Termination date: 20140709

EXPY Termination of patent right or utility model