CN100594426C - 用于微影图案化的光阻材料及集成电路图案的形成方法 - Google Patents

用于微影图案化的光阻材料及集成电路图案的形成方法 Download PDF

Info

Publication number
CN100594426C
CN100594426C CN200710146930A CN200710146930A CN100594426C CN 100594426 C CN100594426 C CN 100594426C CN 200710146930 A CN200710146930 A CN 200710146930A CN 200710146930 A CN200710146930 A CN 200710146930A CN 100594426 C CN100594426 C CN 100594426C
Authority
CN
China
Prior art keywords
lower floor
integrated circuit
formation method
circuit patterns
photoresist layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200710146930A
Other languages
English (en)
Other versions
CN101140420A (zh
Inventor
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101140420A publication Critical patent/CN101140420A/zh
Application granted granted Critical
Publication of CN100594426C publication Critical patent/CN100594426C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明是有关于一种用于微影图案化的光阻材料及集成电路图案的形成方法,该光阻材料,包括第一材料及分散于第一材料中的第二材料。第二材料能扩散至光阻材料的上表面,且第二材料的蚀刻速率是相异于第一材料的蚀刻速率。该集成电路图案的形成方法,至少包含:形成一下层于一基材上;形成一图案化光阻层于该下层上;利用该图案化光阻层而蚀刻该下层的该顶部;以及利用该顶部而蚀刻该下层的该主体部。

Description

用于微影图案化的光阻材料及集成电路图案的形成方法
技术领域
本发明涉及一种光阻材料及集成电路图案的形成方法,特别是涉及一种避免光阻崩塌的用于微影图案化的光阻材料及集成电路图案的形成方法。
背景技术
半导体技术持续朝更小特征尺寸发展,其尺寸至65纳米、45纳米以下。用于产生上述微小特征尺寸的图案化光阻层一般具有高深宽比(aspectratio)。就各种理由而言,维持预定关键尺寸(critical dimension;CD)变成十分困难。举例而言,为了要保持高度蚀刻抗性,通常要用到厚的光阻层。然而,厚度大的光阻层会遇到图案崩塌的问题。
发明内容
本发明的目的在于,克服现有技术存在的缺陷,而提供一种用于微影图案化的光阻材料,所要解决的技术问题是使其在半导体基材上形成微小特征时,能有助于加强光阻材料的附着,并减少光阻产生崩塌的问题,非常适于实用。
本发明的另一目的在于,克服现有技术存在的缺陷,而提供一种集成电路图案的形成方法,所要解决的技术问题是使其在半导体基材上形成微小特征时,借由图案化光阻层先蚀刻下方层的顶部,再利用该顶部而蚀刻该下层的该主体部,能有助于加强光阻材料的附着,并减少光阻产生崩塌的问题,非常适于实用。
本发明的又一目的在于,克服现有技术存在的缺陷,而提供一种用于微影图案化的光阻材料,所要解决的技术问题是是使其在微影图案化的光阻材料时,借由位于有机聚合物上之添加剂扩散至有机聚合物的上表面,以在上表面的下方产生顶部及主体部,且添加剂的蚀刻速率是不同于有机聚合物的蚀刻速率,能有助于加强光阻材料的附着,并减少光阻产生崩塌的问题,非常适于实用。
本发明的目的及解决其技术问题是采用以下技术方案来实现的。依据本发明提出的一种用于微影图案化的光阻材料,至少包含:一第一材料;一第二材料位于该第一材料中,其中该第二材料能扩散至该光阻材料的一上表面,且该第二材料的一蚀刻速率是不同于该第一材料的蚀刻速率。
本发明的目的及解决其技术问题还可采用以下技术措施进一步实现。
前述的用于微影图案化的光阻材料,其中所述的第二材料至少包含一成分,且该成分为硅、金属、或上述材料的任意组合。
前述的用于微影图案化的光阻材料,其中所述的第二材料至少包含有机聚合物。
前述的用于微影图案化的光阻材料,其中所述的第二材料的该有机聚合物至少包含含硅聚合物。
前述的用于微影图案化的光阻材料,其中所述的含硅聚合物至少包含倍半氧硅烷聚合物。
前述的用于微影图案化的光阻材料,其中所述的第二材料至少包含无机材料。
前述的用于微影图案化的光阻材料,其中所述的无机材料至少包含一成分,且该成分为含硅材料、碳化物、含金属材料或上述材料的任意组合。
前述的用于微影图案化的光阻材料,其中所述的无机材料的该含金属材料为钛、铝、铜、硅、钨、氮化钛、氮化钽或上述材料的任意组合。
前述的用于微影图案化的光阻材料,其中所述的无机材料的该含硅材料为碳化硅、氧化硅、氮化硅、或上述材料的任意组合。
前述的用于微影图案化的光阻材料,其中所述的第二材料能经由一机制而扩散至该光阻材料的该上表面,且该机制为分子量差异、极性差异、亲水性差异、溶解度差异、或上述机制的任意组合。
前述的用于微影图案化的光阻材料,其中所述的第一材料比第二材料更加疏水。
前述的用于微影图案化的光阻材料,其中所述的第一材料至少包含比该第二材料更少的极性基团、羟基或羧基。
前述的用于微影图案化的光阻材料,其中所述的第二材料能改变与一碱液接触后的表面接触角。
前述的用于微影图案化的光阻材料,其更至少包含一第三材料能扩散至该光阻材料的该上表面,其中该第三材料包括光酸产生剂。
前述的用于微影图案化的光阻材料,其中所述的第三材料的光酸产生剂的浓度是介于实质0.01百分比至实质5百分比之间。
前述的用于微影图案化的光阻材料,其中所述的第三材料是用于增加吸光量。
前述的用于微影图案化的光阻材料,其中所述的第三材料是用以表面控制。
前述的用于微影图案化的光阻材料,其中所述的第一材料至少包含一有机聚合物。
本发明的目的及解决其技术问题还采用以下技术方案来实现。依据本发明提出的一种集成电路图案的形成方法,至少包含:形成一下层于一基材上,其中该下层包括一材料能扩散至该下层的一上表面,以形成该下层的一顶部及一主体部;形成一图案化光阻层于该下层上;利用该图案化光阻层而蚀刻该下层的该顶部;以及利用该顶部而蚀刻该下层的该主体部。
本发明的目的及解决其技术问题还可采用以下技术措施进一步实现。
前述的集成电路图案的形成方法,在形成该下层的步骤后,更至少包含进行一烘烤步骤。
前述的集成电路图案的形成方法,在蚀刻该主体部的步骤后,更至少包含利用该下层为一硬罩幕而蚀刻该基材。
前述的集成电路图案的形成方法,在蚀刻该基材的步骤后,更至少包含移除该下层。
前述的集成电路图案的形成方法,其中形成该图案化光阻层的步骤包括利用一手段对该图案化光阻层曝光,其中该手段为氟化氪准分子激光、氟化氩准分子激光、极紫外线、压印、电子束、或上述手段的任意组合。
本发明的目的及解决其技术问题另外还采用以下技术方案来实现。依据本发明提出的一种用于微影图案化的光阻材料,至少包含:一有机聚合物;以及一添加剂位于该有剂聚合物上,其中该添加剂能扩散至该有机聚合物的一上表面,以在该上表面的下方产生一顶部及一主体部且该添加剂的一蚀刻速率是不同于该第一材料的一蚀刻速率。
本发明的目的及解决其技术问题还可采用以下技术措施进一步实现。
前述的用于微影图案化的光阻材料,其中所述的顶部的一蚀刻速率是不同于该主体部的一蚀刻速率。
本发明与现有技术相比具有明显的优点和有益效果。由以上技术方案可知,本发明的主要技术内容如下:
本发明在此具体并广义阐述一种微影图案化的光阻材料。光阻材料包括第一材料,以及分散在第一材料中的第二材料。第二材料可或扩散至光阻材料的顶部,且第二材料的蚀刻速率、密度、亲水性、疏水性、及/或溶解度,均迥异于第一材料。
在一实施例中,第二材料可包括一成分,其中此成分为硅、金属或上述材料的任意组合。第二材料可包括有机聚合物。第二材料的有机聚合物更可包括含硅聚合物。含硅聚合物可包括倍半氧硅烷(SSQ)聚合物。在其他实施例中,第二材料可包括无机聚合物。此无机聚合物可包括一成分,其中此成分为含硅材料、碳化物、含金属材料、或上述材料的任意组合。此无机材料的含金属材料可包括钛(Ti)、铝(Al)、铜(Cu)、硅(Si)、钨(W)、氮化钛(TiN)、氮化钽(TaN)或上述材料的任意组合。此无机材料的含硅材料可包括一材料,其中此材料为碳化硅、氧化硅、氮化硅、或上述材料的任意组合。
在一实施例中,第二材料可经由各种方式扩散至光阻材料的上表面,在不同实施例中,上述方式包括分子量差异(molecular weight difference)、极性差异(polar difference)、亲水性差异(hydrophilic difference)、溶解度差异(solubility difference)、或上述机制的任意组合。
在一实施例中,第一材料可比第二材料更加疏水。第一材料可包括比第二材料更少的极性基团、羟基或羧基。第二材料或第一材料在接触碱液时能改变其表面接触角。光阻材料更可包括第三材料,而第三材料可扩散至光阻材料的上表面,其中第三材料包括光酸产生剂(PAG)。第三材料中PAG的浓度是介于实质0.01百分比至实质5百分比之间。第三材料可设计成增进光吸收。第三材料可作为表面控制。第三材料可使用例如氟化聚合物、或具有小分子量的聚合物。第一材料可包括有机聚合物。
本发明在此亦提供一种集成电路图案的形成方法。此方法包括形成下层于基材上,其中下层包括一材料,而此材料能扩散至下层的上表面(而产生下层的顶部及主体部);形成图案化光阻层于下层上;利用图案化光阻层而蚀刻下层的顶部;以及之后,实质利用图案化光阻层及顶部而蚀刻下层的主体部。
在形成下层后,本方法更可包括烘烤步骤。在蚀刻主体部后,本方法可包括利用下层为硬罩幕而蚀刻基材,在蚀刻基材后,本方法更可包括移除下层。图案化光阻层的形成步骤可包括利用辐射束对图案化光阻层曝光,其中此辐射束是来自于氟化氪准分子激光(KrF excimer laser)、氟化氩(ArF)准分子激光、极紫外线(extreme ultraviolet;EUV)装置、压印(imprint)、电子束(electron-beam)、或上述装置的任意组合。
借由上述技术方案,本发明用于微影图案化的光阻材料及集成电路图案的形成方法至少具有下列优点及有益效果:
一、在半导体基材上形成微小特征时,所提供一种用于微影图案化的光阻材料有助于加强光阻材料的附着,并减少光阻产生崩塌的问题,非常适于实用。
二、在半导体基材上形成微小特征时,所提供一种集成电路图案的形成方法有助于加强光阻材料的附着,并减少光阻产生崩塌的问题,非常适于实用。
三、在微影图案化的光阻材料时,所提供一种用于微影图案化的光阻材料有助于加强光阻材料的附着,并减少光阻产生崩塌的问题,非常适于实用。
综上所述,本发明具有上述诸多优点及实用价值,其不论在产品结构、形成方法或功能上皆有较大的改进,在技术上有显著的进步,并产生了好用及实用的效果,且较现有技术具有增进的多项突出功效,从而更加适于实用,并具有产业的广泛利用价值,诚为一新颖、进步、实用的新设计。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,而可依照说明书的内容予以实施,并且为了让本发明的上述和其他目的、特征和优点能够更明显易懂,以下特举较佳实施例,并配合附图,详细说明如下。
附图说明
本揭露内容的观点可借由以上详细的说明书并配合以下所述的图式而获致较佳了解。需留意的是,根据业界标准惯例,各种特征并非依比例绘制。事实上,为了说明之便,可任意增减各种特征的尺寸。
图1至图7是绘示根据本发明一实施例的基材在各种制程阶段的剖面图;以及
图8是绘示根据本发明集成电路图案的形成方法的一实施例的流程图。
100:半导体装置   200:方法
110:基材         202:步骤
120:材料层       204:步骤
120a:主体部      206:步骤
120b:顶部        208:步骤
130:图案化光阻层 210:步骤
具体实施方式
可以理解的是以下揭露内容提供不同实施例或例子,以实施不同特征的各种实施例。以下所述的零件及排列的特定例子是简化本发明。当然上述仅为例示并非用以限定本发明。举例而言,形成第一特征于第二特征上或其上方,在接下来的说明书中可包括第一特征及第二特征形成直接接触的数个实施例,亦可包括额外特征形成于第一特征与第二特征之间的数个实施例,如此第一特征及第二特征就非直接接触。此外,本揭露内容在不同例子中会重复参照图号及/或文字。上述重复是为了简化及阐明的目的,并非用以限定于以下所论的各种实施例及/或配置之间的关系。
图1至图7是绘示不同连续制程阶段的半导体装置100的一实施例的剖面图。请参阅图1至图7所示,其是绘示用于微影图案化的蚀刻光阻材料及其形成方法。
图1所揭露的半导体装置100具有一硅基材110。或者,此基材110亦可由其他适合的半导体材料制得,包括锗(Ge)、硅锗(SiGe)或砷化镓(GaAs)。另外,基材110亦可由其他适合的元素半导体制得,例如钻石;或由适合的化合物半导体制得,例如碳化硅、砷化铟或磷化铟;抑或由适合的合金半导体制得,例如碳化硅锗、磷砷化镓或磷铟化镓。基材110亦可包括各种掺杂区、介电特征及多重内连线。另一种方式,基材110可包括非半导体材料,例如用于薄膜晶体管液晶显示器(thin-film-transistor liquidcrystal display;TFT-LCD)装置的玻璃基材,或用于光罩幕(罩幕或光罩)的熔融石英或氟化钙。基材110可包括一个以上经图案化的其他材料层,例如多晶硅层、导体层、介电层、或不同材料的多种层。
下方材料层(或“蚀刻光阻”层或仅仅“材料”层或“光阻”)120是形成于基材110上。此材料层120在图案化基材时可作为硬罩幕。材料层120可具有额外的作用,例如作为底部抗反射涂布(bottom anti-reflectancecoating;BARC)。材料层120的厚度可介于实质50埃(angstrom;)至实质9000埃之间。在另一实施例中,材料层120的厚度可介于实质1000埃至实质3500埃之间。举例而言,材料层120的厚度可为实质3500埃。另外,材料层120可包括其他设计成较适范围的参数。
在本实施例中,材料层120包括第一材料以作为基础(matrix)。第一材料包括有机聚合物,而且实质无硅及/或实质无金属。另一种方式,第一材料可包括含硅材料。第一材料可包括有或无光敏材料的正型光阻或负型光阻。举例而言,第一材料包括I线、G线、氟化氪、氟化氩的光阻聚合物骨架、或非光敏聚合物。第一材料可包括适当的BARC材料。第一材料可包括习知聚合物材料或阻剂材料。举例而言,第一材料可为第三丁氧羰基(t-butyloxycarbonyl;t-BOC)阻剂、乙缩醛阻剂(acetal resist)、以及对环境稳定的化学增幅型光阻(environmentally stabilized chemically amplifiedphotoresist;ESCAP),上述阻剂为此领域中已知。用于第一材料的聚合物材料可经交联(cross-linked)。举例而言,聚合物材料可旋涂于基材110上,再利用烘烤步骤予以交联,其中烘烤步骤时的温度是介于实质90℃至300℃之间(或者在另一实施例中,介于实质100℃至180℃之间)。聚合物材料亦可不经交联,然后材料层120可利用一溶剂,其中此溶剂是不能溶解形成于材料层上的光阻层。举例而言,材料层120可使用醇类溶剂,例如异丁醇溶剂。第一材料亦可包括无机材料。在不同实施例中,第一材料的无机材料包括碳化物、碳化硅、氮化硅、或上述材料的任意组合。
材料层120包括散布于第一材料中的第二材料。第二材料在涂布于基材110上后可扩散至材料层120的上表面,而形成薄层(或顶部)120b及主体部120a,其中第二材料聚集于薄层(或顶部)120b中,而主体部120a位于薄层(或顶部)120b的下方,如图2所示。第二材料可经由不同机制(mechanism)而部分或实质重分配至顶部,其中此机制是指扩散、聚集、或分离。在一实施例中,第二材料的分子量比第一材料的分子量低,因此可扩散至材料层120的上表面,如此一来,顶部的第二材料的浓度高于主体部的第二材料的浓度。举例而言第一材料的分子量可实质高于7000道尔顿(Dalton),然而第二材料的分子量可实质为2000道尔顿或少于此。在另一实施例中,第二材料与第一材料或溶剂的极性不同。举例而言,当第一材料的极性低于第二材料的极性时,在热烤步骤时可分离出第二材料。在另一实施例中,当第二材料与第一材料的亲水(hydrophilic)/疏水(hydropholic)的比例不同时,第二材料与第一材料对于溶剂或对于彼此的溶解度就不同。在热烤步骤时可从第一材料分离出第二材料。在另一实施例中,当选择可溶于溶剂的第二材料,而溶剂的溶解度又高于第一材料时,在热烤步骤过程中,第二材料可偕同溶剂而扩散至材料层120的顶部。在又一实施例中,当第二材料与第一材料相对于溶剂或相对于彼此具有不同的氢键或凡得瓦力时,在热烤步骤时亦可从第一材料分离出第二材料。
在实施上述实施例时,可利用包括极性差异的各种方法,从第一材料分离出第二材料。在一例子中,第一材料比第二材料的疏水性高,例如借由包括比第二材料更少的极性团,诸如羟(OH)基、及/或羧(COOH)基。第一材料的疏水性另有助于加强附着于基材上。第二材料的亲水性则有助于减少材料层表面的缺陷。第二材料与第一材料的至少一者改变与碱液接触后的表面接触角。在一例子中,碱液为浓度实质2.38百分比(%)的四甲基氢氧化铵(tetramethylammonium hydroxide;TMAH)溶液。第二材料可包括烷基醇(alkylalcohol)、羧酸脱离基(carboxylic acid leaving group)、内酯(lactone)、及/或酐基。所得的疏水性表面可有助于改善其上形成的光阻层的附着。另一种方式,所得的亲水性表面可减少缺陷。在另一例子中,第一材料比第二材料更加疏水,例如借由包括比第二材料更少的极性基团、羟基及/或羧基。在又一例子中,第二材料可包括更多的氟化物聚合物或有机聚合物。第二材料的疏水性另有助于加强位于其上的光阻层的附着,并减少崩塌的问题。
因此,第二材料可经由各种机制而部分扩散或实质扩散至材料层120的顶部。在第二材料涂布至基材110上后,扩散作用可发生于各种阶段。在不同实施例中,扩散作用可发生于涂布阶段、烘烤阶段、及/或在硬化步骤前。经由加热步骤或交联步骤,可加强硬化步骤,因而亦加强扩散作用。
第二材料与第一材料的蚀刻抗性(或蚀刻速率)不同。第二材料可包括硅及/或金属以加强蚀刻抗性。在一实施例中,第二材料包括有机聚合物。用于第二材料的有机聚合物的大西数值(Onishi number)低于第一材料。由于高能离子轰击之故,因此大西数值是定义为与蚀刻速率相关。第二材料的有机聚合物包括双键、及/或三键的分子以降低蚀刻速率。第二材料的有机聚合物可包括含硅聚合物。举例而言,在第二材料中的含硅聚合物可包括倍半氧硅烷(Silsesquioxane;SSQ)聚合物,另一实施例可包括-SiR2OSiR2O-基团或-R2SiSiR2-基团。在又一实施例中,第二材料可包括无机材料。用于第二材料的无机材料可包括含硅材料、碳化物、含金属材料、或上述材料的任意组合。举例而言,含硅无机材料可包括碳化硅、氧化硅、及/或氮化硅。含金属的无机材料可包括钛(Ti)、铝(Al)、铜(Cu)、硅(Si)、钨(W)、氮化钛(TiN)、氮化钽(TaN)或上述材料的任意组合。含金属材料的另一例子为二氧化钛(TiO2)。
材料层120可另外包括第三材料,此第三材料是位于第一材料中,且涂布于基材110后可扩散至顶部。第三材料达成扩散至顶部的机制可与上述第二材料的机制中的一者相似。第三材料可设计成调整或控制材料层120的表面性质。举例而言,第三材料可设计成控制材料,以控制密度、酸度、附着力、极性、分子吸收、分子过滤、亲水性/疏水性、溶解度(对显影剂)、反应分子、光绕射、光吸收、及/或酸/碱分子。
在一实施例中,第三材料包括n值(光绕射值)较低的聚合物。由于材料层120与光阻之间的界面会产生高反射而材料层120中n值较低的聚合物可降低因高反射导入的光阻驻波。在另一实施例中,第三材料包括光酸产生剂(photo acid generator;PAG)。第三材料中PAG的浓度是介于实质0.01百分比至实质5百分比之间。第三材料的其他例子包括TPS加上C3、C4或C5。在又一实施例中,第三材料包括发色团(chromophore)。表面发色团可于烘烤步骤中被蒸发、在光阻涂布步骤中被冲走、或与溶液一起被冲走。上述溶液的例子包括去离子(de-ionized;DI)水、酸、碱、或溶剂溶液。在其他实施例中,第三材料可包括以羧酸聚合物进行一可能反应,例如RCOOH+OH-→RCOO-+H2O;以羧酸聚合物进行一可能反应,例如RCOOH+OH-→RCOO-+H2O;以酸敏脱离基(acid sensitive leaving group)进行一可能反应,例如RCOOR1+H+→RCOO-;以氟化聚合物进行一可能反应,例如RC(CF3)2OH+OH-→RC(CF3)2O-或-[C2HxFy]-聚合物单元,其中0≤x≤3,而1≤y≤4;或以含氢氧基氟化聚合物进行一可能反应,例如RC(CF3)2OH+OH-→RC(CF3)2O-或-[C2HxFy]-聚合物单元,其中0≤x≤3,而1≤y≤4;或以含羟基聚合物进行一可能反应,例如ROH+OH-→RO-。在另一实施例中,第三材料可包括内酯或含酐聚合物进行一可能反应,例如RCOOR1+OH-→RCOO-+R1OH。在其他实施例中,第三材料可包括分子量小于7000道尔顿的小分子聚合物。上述提及的若干例子包括官能基,此官能基在与碱液接触后,能将聚合物由较疏水性结构转换成较亲水性结构。在显影步骤后,将转换聚合物(switch polymer)洗去。上述提及的若干例子可包括能改变表面密度或疏水性的结构基或官能基,以修饰材料层120与光阻层之间的界面性质(例如以下采用的图案化光阻层)。举例而言,氟化聚合物可增加表面疏水性并能增加光阻图案的附着力,又可防止界面之间的材料吸收或滤除。小分子量的第三材料亦可增加材料层120的表面密度且防止界面处的材料吸收或滤除。
在其他实施例中,第三材料可与第二材料结合,如此使得第二材料兼具调整材料层120的蚀刻抗性及控制其表面性质的功能。可在烘烤步骤、在涂布光阻层的步骤、及/或在图案化已涂布的光阻层的各种处理阶段中,形成材料层120的顶部120b及主体部。
请参阅图3所示,图案化光阻层130是形成于材料层120上。图案化光阻层130包括复数个开口,如此使得开口中的材料层的部分不被覆盖。举例而言,可在基材中形成复数个对应于上述开口的沟渠。图案化光阻层130的厚度是介于实质50埃至5000埃之间。在另一实施例中,图案化光阻层130的厚度可介于实质500埃至2000埃之间。图案化光阻层130可为正型光阻或负型光阻。对于利用极紫外线(extreme ultra violet;EUV)辐射束进行的先进半导体图案化步骤,图案化光阻层130可使用化学增幅型(chemicalamplification;CA)光阻。图案化光阻层130是利用微影步骤而形成,在一实施例中,可包括光阻涂布、软烤、罩幕对准、曝光、曝光后烘烤、显影、及硬烤的处理步骤。举例而言,可利用辐射束通过具有预定图案(或反相图案)的罩幕而对半导体装置100进行曝光步骤。辐射束可为紫外线或极紫外线,例如由氟化氪准分子激光(KrF excimer laser)产生的240nm辐射束或由氟化氩(ArF)准分子激光产生的193nm辐射束。微影图案化步骤亦可由其他适合的方法实施或取代,其中适合的方法例如无罩幕微影(maskless lithography)、电子束直写(electron-beam writing)、离子束直写(ion-beam writing)及分子压印(molecular imprint)。图案化光阻层130可包括对酸分子或辐射敏感的产酸剂,以在施加辐射束时能产生酸。
请参阅图4所示,进行蚀刻步骤以打开材料层120的顶部120b,以在开口处暴露出主体部120a。蚀刻步骤可利用氢氟酸(hydrofluorine;HF)溶液或电浆气体以移除含硅的顶部120b。蚀刻步骤可利用其他电浆气体(电浆即等离子体,以下均称为电浆)以移除有机的顶部120b。举例而言,假设主体部120a为无机的含硅材料,则可利用氧电浆以打开有机的顶部120b。有机的顶部120b可用来改善附着、材料吸收及滤除。在蚀刻顶部120b后,可剥除图案化光阻层130。假设主体部120a为有机材料,则可利用含氟电浆气体以打开含硅的顶部120b。在蚀刻顶部120b后,可剥除图案化光阻层130或不剥除并继续以含氧或氢的蚀刻电浆气体蚀刻主体部120a。
请参阅图5所示,利用图案化的顶部120a为硬罩幕而蚀刻主体部120a。举例而言,就利用有机聚合物的主体部而言,为了转移顶部的开口并在开口中暴露出基材110,可利用氧进行干电浆蚀刻步骤,以打开主体部120a。倘若在此蚀刻步骤前,尚未剥除图案化光阻层130,则在此蚀刻步骤中,可消耗部分或全部的图案化光阻层130。
请参阅图6所示,在材料层120的图案化主体部120a下方打开基材110,以利用适合的蚀刻步骤形成复数个沟槽,其中适合的蚀刻步骤包括干蚀刻或湿蚀刻步骤。在此蚀刻步骤中,可消耗部分或全部顶部120b以蚀刻基材。
此后,如图7所示,移除材料层120。在上述步骤中,由于材料层120自行区分成顶部120b及主体部120a,可排除使用先前使用的三层步骤,借以减少制造成本、材料成本、及制程运作时间。其他的优点可包括材料控制,例如调整光吸收、附着及降低渣滓。
图8是集成电路图案的形成方法200的一实施例的流程图,以进一步说明上述图1至图7的微影图案化方法。方法200始于步骤202,其是在基材上形成下方材料层(或光阻层),而方法200更可包括增进下方材料层分离的烘烤步骤,以产生蚀刻速率不同的顶部及主体部。方法200更包括步骤204,以形成图案化光阻层于下方材料层上。在步骤206中,利用图案化光阻层为罩幕而蚀刻顶部。在步骤208中,为了后续在开口中暴露出基材,故蚀刻主体部,以将开口从顶部转移至主体部。在步骤210中,利用主体部为硬罩幕而蚀刻基材。
在不脱离本揭露内容的精神及范围内,可作各种变化、取代或修改。举例而言,第二材料可扩散至材料层120的底部。第三材料可扩散至第二材料中的材料底部,或者另一种方式,第二材料与第三材料之一者可扩散至材料层120的顶部,而另一者可扩散至材料层120的底部。在另一实施例中,第二材料与第三材料之一者可扩散至之顶部或底部,而另一者则维持在原来的分布区域。
因此,本揭露内容提供微影图案化的光阻材料。光阻材料包括第一材料,以及分散在第一材料中的第二材料。第二材料可或扩散至光阻材料的顶部,且第二材料的蚀刻速率、密度、亲水性、疏水性、及/或溶解度,均迥异于第一材料。
在此光阻材料中,第二材料可包括一成分,其中此成分为硅、金属或上述材料的任意组合。第二材料可包括有机聚合物。第二材料的有机聚合物更可包括含硅聚合物。含硅聚合物可包括倍半氧硅烷(SSQ)聚合物。在其他实施例中,第二材料可包括无机聚合物。此无机聚合物可包括一成分,其中此成分为含硅材料、碳化物、含金属材料、或上述材料的任意组合。此无机材料的含金属材料可包括钛(Ti)、铝(Al)、铜(Cu)、硅(Si)、钨(W)、氮化钛(TiN)、氮化钽(TaN)或上述材料的任意组合。此无机材料的含硅材料可包括一材料,其中此材料为碳化硅、氧化硅、氮化硅、或上述材料的任意组合。
在上述光阻材料中,第二材料可经由各种方式扩散至光阻材料的上表面,在不同实施例中,上述方式包括分子量差异(molecular weight difference)、极性差异(polar difference)、亲水性差异(hydrophilic difference)、溶解度差异(solubility difference)、或上述机制的任意组合。
第一材料可比第二材料更加疏水。第一材料可包括比第二材料更少的极性基团、羟基或羧基。第二材料或第一材料在接触碱液时能改变其表面接触角。光阻材料更可包括第三材料,而第三材料可扩散至光阻材料的上表面,其中第三材料包括光酸产生剂(PAG)。第三材料中PAG的浓度是介于实质0.01百分比至实质5百分比之间。第三材料可设计成增进光吸收。第三材料可作为表面控制。第三材料可使用例如氟化聚合物、或具有小分子量的聚合物。第一材料可包括有机聚合物。
本揭露内容亦提供一种集成电路图案的形成方法。此方法包括形成下层于基材上,其中下层包括一材料,而此材料能扩散至下层的上表面(而产生下层的顶部及主体部);形成图案化光阻层于下层上;利用图案化光阻层而蚀刻下层的顶部;以及之后,实质利用图案化光阻层及顶部而蚀刻下层的主体部。
在形成下层后,本方法更可包括烘烤步骤。在蚀刻主体部后,本方法可包括利用下层为硬罩幕而蚀刻基材,在蚀刻基材后,本方法更可包括移除下层。图案化光阻层的形成步骤可包括利用辐射束对图案化光阻层曝光,其中此辐射束是来自于氟化氪准分子激光(KrF excimer laser)、氟化氩(ArF)准分子激光、极紫外线(extreme ultraviolet;EUV)装置、压印(imprint)、电子束(electron-beam)、或上述装置的任意组合。
本揭露内容亦提供用于微影图案化的光阻材料的其他实施例。光阻材料包括有机聚合物;以及分散于有机聚合物中的添加物。前述添加物能扩散至光阻材料的顶部,而造成顶部及其下方的主体部。在光阻材料中,顶部的蚀刻速率、绕射、吸收、亲水性、疏水性、有机组成物、及/或无机组成物均迥异于主体部。
承前已概述本发明的数个较佳实施例的诸项特征,使本发明所属技术领域中的技术人员更可了解的前详述的说明书。本发明所属技术领域中的技术人员应当察知可轻易以本揭露内容为基础,设计出或修饰成其他制程及结构,进而实现与本文提出的实施例相同的目的及/或达成相同的优点。本发明所属技术领域中的技术人员亦可了解上述均等的解释并不脱离本揭露内容的精神和范围,而且此处所为的各种变化、替代或修改皆不脱离本揭露内容的精神和范围。

Claims (13)

1、一种集成电路图案的形成方法,其特征在于其至少包含:
形成一下层于一基材上,其中该下层包括一材料能扩散至该下层的一上表面,以形成该下层的一顶部及一主体部;
形成一图案化光阻层于该下层上;
利用该图案化光阻层而蚀刻该下层的该顶部;
在图案化该顶部后,停止蚀刻该顶部的步骤;
从该基材移除该图案化光阻层;
在移除该图案化光阻层后,利用被蚀刻的该顶部为一罩幕,蚀刻该下层的该主体部;
利用该下层的被蚀刻的该主体部为一硬罩幕而蚀刻该基材;以及移除该下层。
2、根据权利要求1所述的集成电路图案的形成方法,其特征在于在形成该下层的步骤后,更至少包含进行一烘烤步骤。
3、根据权利要求1所述的集成电路图案的形成方法,其特征在于其中形成该图案化光阻层的步骤包括利用一手段对该图案化光阻层曝光,其中该手段为氟化氪准分子激光、氟化氩准分子激光、极紫外线、压印、电子束、或上述手段的任意组合。
4.根据权利要求1所述的集成电路图案的形成方法,其中该材料至少包含一成分,且该成分为硅、金属、或上述材料的任意组合。
5.根据权利要求1所述的集成电路图案的形成方法,其中该材料至少包含有机聚合物。
6.根据权利要求5所述的集成电路图案的形成方法,其中该有机聚合物至少包含倍半氧硅烷聚合物。
7.根据权利要求1所述的集成电路图案的形成方法,其中该材料至少包含一无机材料成分,且该无机材料成分为含硅材料、碳化物、含金属材料或上述材料的任意组合。
8.根据权利要求1所述的集成电路图案的形成方法,其中在该基材上形成该下层的步骤至少包含使该材料经由一机制而扩散至该下层的该上表面,且该机制为分子量差异、极性差异、亲水性差异、溶解度差异、或上述机制的任意组合。
9.根据权利要求1所述的集成电路图案的形成方法,其中形成该下层的步骤更至少包含一第二材料能扩散至该材料的该上表面,且该第二材料包括光酸产生剂。
10.根据权利要求1所述的集成电路图案的形成方法,其中利用被蚀刻的该主体部蚀刻该基材的步骤是消耗该下层的被蚀刻的该顶部。
11.根据权利要求1所述的集成电路图案的形成方法,其中该材料至少包含金属。
12.根据权利要求1所述的集成电路图案的形成方法,其中该材料至少包含碳化物。
13.根据权利要求1所述的集成电路图案的形成方法,其中移除该图案化光阻层的步骤包括从该基材剥除该图案化光阻层。
CN200710146930A 2006-09-08 2007-08-23 用于微影图案化的光阻材料及集成电路图案的形成方法 Active CN100594426C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US82504106P 2006-09-08 2006-09-08
US60/825,041 2006-09-08
US11/677,089 2007-02-21
US11/677,089 US8178287B2 (en) 2006-09-08 2007-02-21 Photoresist composition and method of forming a resist pattern

Publications (2)

Publication Number Publication Date
CN101140420A CN101140420A (zh) 2008-03-12
CN100594426C true CN100594426C (zh) 2010-03-17

Family

ID=39170124

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710146930A Active CN100594426C (zh) 2006-09-08 2007-08-23 用于微影图案化的光阻材料及集成电路图案的形成方法

Country Status (2)

Country Link
US (1) US8178287B2 (zh)
CN (1) CN100594426C (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI574822B (zh) * 2011-10-07 2017-03-21 富士軟片股份有限公司 用於壓印的底層膜組成物、圖案形成方法、製造半導體裝置之方法、堆疊物品以及包括堆疊物品的半導體裝置
CN107004595A (zh) * 2014-12-24 2017-08-01 英特尔公司 用于化学辅助图案化的光可界定的对准层

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101641957B1 (ko) * 2008-11-24 2016-07-25 삼성디스플레이 주식회사 패턴 형성 방법, 이를 이용하여 제조한 표시 기판 및 표시 장치
KR101493128B1 (ko) * 2012-09-27 2015-02-23 엘지디스플레이 주식회사 액정표시패널 및 그 제조방법
US10879078B2 (en) * 2018-09-27 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning resist layer and method of forming semiconductor structure using patterned resist layer
CN103984204A (zh) * 2014-05-22 2014-08-13 苏州锦元纳米科技有限公司 一种润滑薄膜的制备方法
US9698014B2 (en) 2014-07-30 2017-07-04 Taiwan Semiconductor Manufacturing Co., Ltd Photoresist composition to reduce photoresist pattern collapse
WO2016105473A1 (en) * 2014-12-24 2016-06-30 Orthogonal, Inc. Photolithographic patterning of electronic devices
CN107548473A (zh) * 2015-04-22 2018-01-05 亚历克斯·菲利普·格雷厄姆·罗宾逊 灵敏度增强的光致抗蚀剂
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10177001B2 (en) * 2016-05-31 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Surface modifying material for semiconductor device fabrication
US10545408B2 (en) * 2017-08-18 2020-01-28 Varian Semiconductor Equipment Associates, Inc. Performance improvement of EUV photoresist by ion implantation
US20200105522A1 (en) * 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
CN110660839B (zh) * 2019-11-13 2022-04-29 京东方科技集团股份有限公司 一种显示面板及其制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4394434A (en) * 1980-12-08 1983-07-19 Minnesota Mining And Manufacturing Company Plating resist with improved resistance to extraneous plating
US4994348A (en) * 1988-02-27 1991-02-19 Basf Aktiengesellschaft Light-sensitive recording materials for producing mar-resistant intaglio printing plates
US6087064A (en) * 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
CN1512270A (zh) * 2002-12-30 2004-07-14 �Ҵ���˾ 利用酸的垂直转移进行平版印刷成像的方法
JP2004206082A (ja) * 2002-11-20 2004-07-22 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2645478B2 (ja) * 1988-10-07 1997-08-25 富士通株式会社 半導体装置の製造方法
US6210856B1 (en) * 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
US6475892B1 (en) * 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US20030215736A1 (en) * 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US6822575B2 (en) * 2002-07-25 2004-11-23 Taiwan Semiconductor Manufacturing, Co., Ltd Backfill prevention system for gas flow conduit
JP2004128188A (ja) * 2002-10-02 2004-04-22 Renesas Technology Corp 半導体装置の製造方法
JP4114064B2 (ja) * 2003-05-27 2008-07-09 信越化学工業株式会社 珪素含有高分子化合物、レジスト材料及びパターン形成方法
US7727902B2 (en) * 2003-12-26 2010-06-01 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4394434A (en) * 1980-12-08 1983-07-19 Minnesota Mining And Manufacturing Company Plating resist with improved resistance to extraneous plating
US4994348A (en) * 1988-02-27 1991-02-19 Basf Aktiengesellschaft Light-sensitive recording materials for producing mar-resistant intaglio printing plates
US6087064A (en) * 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
JP2004206082A (ja) * 2002-11-20 2004-07-22 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
CN1512270A (zh) * 2002-12-30 2004-07-14 �Ҵ���˾ 利用酸的垂直转移进行平版印刷成像的方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI574822B (zh) * 2011-10-07 2017-03-21 富士軟片股份有限公司 用於壓印的底層膜組成物、圖案形成方法、製造半導體裝置之方法、堆疊物品以及包括堆疊物品的半導體裝置
CN107004595A (zh) * 2014-12-24 2017-08-01 英特尔公司 用于化学辅助图案化的光可界定的对准层
CN107004595B (zh) * 2014-12-24 2021-04-16 英特尔公司 用于化学辅助图案化的光可界定的对准层

Also Published As

Publication number Publication date
US8178287B2 (en) 2012-05-15
CN101140420A (zh) 2008-03-12
US20080063976A1 (en) 2008-03-13

Similar Documents

Publication Publication Date Title
CN100594426C (zh) 用于微影图案化的光阻材料及集成电路图案的形成方法
US10964541B2 (en) Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
JP2846761B2 (ja) 伝導層パターン形成方法
US6814879B2 (en) Method for forming pattern
CN106226998B (zh) 光刻方法
US8658532B2 (en) Method and material for forming a double exposure lithography pattern
TWI632437B (zh) 用於形成凸紋影像的方法
TWI584083B (zh) 用於微影製程之圖案化的方法
CN101533218A (zh) 微影图形成形方法
JP4004014B2 (ja) レジストパターンの形成方法
US6989219B2 (en) Hardmask/barrier layer for dry etching chrome films and improving post develop resist profiles on photomasks
KR100415091B1 (ko) 미세패턴 형성 방법
CN109585277B (zh) 形成开口于下方层中的方法
JP2674589B2 (ja) レジストパターンの形成方法
JP3258199B2 (ja) 半導体装置のパターン形成方法
CN1410832A (zh) 无残留物双层微影方法
JP2008218830A (ja) レジストパターンの形成方法および半導体装置の製造方法
TW202407456A (zh) 形成阻劑圖案的方法
TW505978B (en) Residue-free bi-layer lithographic process
Cameron et al. Novel Approaches to Extend 193nm Immersion Technology to Advanced Device Nodes
TWI220541B (en) Direct defining technique for nano-meter pattern of low dielectric-constant layer
KR20000001789A (ko) 반도체 장치의 세정 방법
KR20000002904A (ko) 반도체 장치의 세정 방법
JPH05102021A (ja) 有機膜の高アスペクト比微細パターン形成方法
Jeon et al. Investigation on the effect of metallic impurity Zn in solvent during photolithography process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant