CN100566905C - 热处理装置、热处理半导体衬底的方法 - Google Patents

热处理装置、热处理半导体衬底的方法 Download PDF

Info

Publication number
CN100566905C
CN100566905C CNB038119773A CN03811977A CN100566905C CN 100566905 C CN100566905 C CN 100566905C CN B038119773 A CNB038119773 A CN B038119773A CN 03811977 A CN03811977 A CN 03811977A CN 100566905 C CN100566905 C CN 100566905C
Authority
CN
China
Prior art keywords
electromagnetic radiation
continuous wave
wave electromagnetic
substrate
pedestal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB038119773A
Other languages
English (en)
Other versions
CN1723097A (zh
Inventor
D·C·詹宁斯
M·任
A·J·梅耶尔
V·贝尔任斯
P·A·奥布雷恩
L·M·特尔梯茨基
A·戈尔登
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1723097A publication Critical patent/CN1723097A/zh
Application granted granted Critical
Publication of CN100566905C publication Critical patent/CN100566905C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02683Continuous wave laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0643Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising mirrors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0652Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0665Shaping the laser beam, e.g. by masks or multi-focusing by beam condensation on the workpiece, e.g. for focusing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • B23K26/0838Devices involving movement of the workpiece in at least one axial direction by using an endless conveyor belt
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/123Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in an atmosphere of particular gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/20Bonding
    • B23K26/32Bonding taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/34Laser welding for purposes other than joining
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/20Carburising
    • C23C8/22Carburising of ferrous surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02678Beam shaping, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26

Abstract

所述热处理装置包括台座(216)、连续波(cw)电磁辐射源(202)、系列透镜(210)、平移机械装置(218)和控制器(226)。台座(216)被配置成用以安放衬底(214)。连续波电磁辐射源(202)被置于台座(216)附近,且被配置成沿着朝向衬底(214)的路径发射连续波电磁辐射。系列透镜(210)置于连续波电磁辐射源(202)和台座(216)之间,且被配置成把所述连续波电磁辐射会聚成衬底(214)的表面上的连续波电磁辐射线(222)。

Description

热处理装置、热处理半导体衬底的方法
技术领域
本发明一般涉及半导体装置制造。更具体地,本发明涉及通过辐射线(line)扫描衬底来热处理衬底的装置和方法。
背景技术
集成电路(IC)市场持续地要求有更大的存储容量,更快的开关速率,以及更小的特征尺寸。半导体工业已采取了一个重要的步骤以解决这些要求,即从在大炉子里批量处理多个衬底如硅晶片改为在小的反应腔室中的单个衬底处理。
一般地,在这样的批处理制造中要执行四个基本操作,即成层、成图案、掺杂和热处理。许多这些操作要求加热衬底至高温以便多种化学和物理反应能够发生。热处理和成层是特别有意义的,它们将在下面详述。
热处理是这样的操作,其中衬底仅被加热和冷却以达到特定结果。在热处理的过程中,没有额外材料被加入衬底或从衬底除去。热处理,如快速热处理或退火,通常要求在短时间里将相对大量的热能(高温)提供给衬底,然后快速冷却衬底以终止热处理。在这种处理中,传输到衬底的热能量就是热积存量(thermal budget)。材料的热积存量是温度和处理持续时间的函数。在超小规模IC制造中需要低的热积存量,如果处理时间很短,这只能在高温时实现。
目前所使用的热处理的例子包括快速热处理(RTP)和脉冲(impulse)(尖峰(spike))退火。虽然这样的工艺被广泛使用,但当前的技术并非理想。这类技术倾向于过于缓慢地升高和降低衬底的温度,而且长时间将衬底暴露于高温下。随着衬底尺寸增加、开关速度提高、和/或特征尺寸减小,这些问题变得更加严重。
一般地说,这些热处理根据预定的热制法在受控条件下升高衬底温度。这些热制法基本由下列内容组成:衬底必须被加热达到的温度;温度的改变速率,即温度升高和降低的速率;以及热处理系统保持在一特定温度下的时间。例如,热制法可要求衬底从室温被加热到1200℃或更高的特定温度,在每个特定温度的处理时间可达到60秒或更长。
而且,为了实现某些目标,例如使衬底中的杂质扩散最少,每个衬底经受高温的时间必须受到限制。为了实现这一点,温度变化速率(temperature ramp rate),包括对上升和下降最好都较高。换言之,最好能够在尽可能短的时间内把衬底温度从低温调整到高温,反之亦然,为的是使热积存量最小。
对高温度变化率的需求导致快速热处理(RTP)的发展,其中典型的温度上升速率的范围为200~400℃/s,而传统的炉子的对应范围为5~15℃/分钟。典型的下降速率范围为80~150℃/s。
图1是不同现有技术的热处理的热曲线的图形100。如图所示,典型的RTP系统的热曲线102具有250℃/s的上升速率和90℃/s的下降速率。
RTP的一个缺点是其加热整个衬底,即使IC器件只占该衬底的顶部几个微米也是如此。这就限制了加热和冷却衬底的速率。而且,一旦整个衬底处于升高的温度下,热就只能耗散到周围的环境或结构内。结果,当前的RTP系统只能勉力达到400℃/s的上升速率和150℃/s的下降速率。
图1还显示了激光退火处理的热曲线104。激光退火被用于薄膜晶体管(TFT)面板的制造过程。这样的系统使用激光光斑让多晶硅熔化和再结晶。由于激光光斑扫描经过面板上连续暴露的区域,整个TFT面板都是暴露的。对于衬底应用而言,激光脉冲被用于照射暴露区域约20到40纳秒的持续时间,其中暴露区域是通过光扫描照到衬底上形成的。如可从热曲线104看到的那样,对于激光退火,温度变化速率近乎是瞬间,为每秒数十亿度。然而,激光退火所使用的激光脉冲或闪光(flash)太快,并且对于非熔化处理,通常不能提供足够的时间以进行充分的退火。而且,邻近暴露区域的器件或结构可能被暴露于极高温度而导致它们熔化,或者被暴露于过低温度下而导致退火不足。进一步的是,难以实现衬底每个部分的热暴露量的均匀化,这是因为不同区域以不同的速率吸收从而导致巨大的温度梯度。上述过程对于达到平衡温度的热扩散来说是过快了,因此产生严重的图案依赖性(pattern dependencies)。其结果,这种技术不适合用于单个晶体硅退火,因为衬底表面上的不同区域会被加热至显著不同的温度,从而在短距离内引起巨大的不均匀性。
加拿大的Vortek Industries Ltd.当前开发的另一种热处理系统使用了闪光协助的尖峰退火,以试图在短时间内给衬底提供高热能,然后再快速冷却该区域以限制热暴露量。使用这种热处理系统将给结深(junction depth)以高达1060℃的尖峰退火,而以高达1100℃的闪光改善活化。通常,上述RTP系统升高至所需的温度,一般在1060℃左右,然后在达到所需闪光温度后立即开始下降。这样做为的是使产生的扩散量最小,同时仍然借助升高的温度实现合适的活化。这种闪光协助的尖峰退火的热曲线106也于图1中示出。
鉴于以上所述,需要这样一种装置和方法,其用于以高升温和降温速率来退火衬底。这将为较小器件的制造提供更好的控制,从而导致性能的提高。而且,这样的装置和方法应保证衬底上的每个点都有足够均匀的热暴露量,因此减少图案依赖性和潜在的缺陷。
现在我们把注意力转向成层(layering),这是另一种基本的制造操作,通常要求引入能量或热。成层使用多种技术在衬底表面上增加薄层或膜,其中最广泛使用的技术是生长和沉积。所增加的层在IC器件中用作半导体、介电体(绝缘体)或导体。这些层必须满足各种各样的要求,如均匀的厚度、光滑和平整的表面、一致的成分和晶粒尺寸、无应力膜、纯度和整体性。要求引入能量的普通沉积技术,仅举数例,有:化学气相沉积(CVD);CVD的一个变种,被称为快速热化学气相沉积(RTCVD);CVD的另一变种,被称为低压CVD(LPCVD);以及原子层沉积(ALD)。
CVD是最广泛使用的在衬底表面上物理沉积一个或多个诸如氮化硅(Si3N4)层或膜的技术。在CVD处理中,含最终膜中所需的原子或分子的各种气体如氨气(NH3)和二氯甲硅烷(DCS),被注入到反应腔室中。用高能如热、光或等离子体诱发各气体之间的化学反应。反应后的原子或分子沉积于衬底表面上,并堆积形成具有预定厚度的薄膜。而反应的副产物则随后从反应腔室中冲洗掉。沉积速率可通过控制所提供的能量、引导到反应腔室内的各种气体的量和比率、和/或反应腔室内的压力这些反应条件而受到操纵。
反应能量通常以热(传导或对流)、感应RF、辐射、等离子体或紫外光等能量源供应。温度范围通常从室温到1250℃,更典型的是在250℃到850℃之间。
虽然希望在当前的热驱动处理中将衬底加热至高温,但同样希望不使衬底暴露于上述高温中太长时间。换句话说,希望能够在尽可能短的时间内从低到高调整衬底温度,反之亦然,即有低的热积存量。
然而,尽管事实上只需要加热衬底表面,但当前热驱动处理是加热整个衬底。加热整个衬底会限制加热和冷却该衬底的速率,这是因为衬底具有阻止其温度变化的热惯性。例如,一旦整个衬底处于升高的温度,冷却衬底只能通过热耗散到周围环境或结构来进行。
在CVD和LPCVD中,各种气体在同一时间被供应或注入到反应腔室中。然而,在各反应气体之间发生的气相反应会发生在反应腔室内的任何位置,包括在衬底周围的环境空间中。发生在环境空间内的反应是不希望发生的,因为它们能形成颗粒,而这些颗粒能掩埋在膜中。气相反应也使得沉积依赖于气流,由于气流依赖性,会产生显著的不一致性。
最近,ALD受到开发,用以解决上述CVD和LPCVD的气相反应问题。在ALD中,第一种气体被注入到反应腔室中。第一种气体的原子或分子附着在衬底表面上。然后清洗气体被注入到反应腔室中以从反应腔室中洗去第一种气体。最后,第二种气体被注入到反应腔室中,以和处于衬底表面上的第一种气体反应。因为第一种和第二种气体不同时出现在反应腔室中,所以环境空间中没有气相反应发生。这消除了与环境空间中的颗粒形成和气流依赖性相关联的问题。然而,ALD的沉积速率慢,约为每秒1埃。而且,ALD如同CVD,受到同样的温度限制和热积存量问题的束缚。
鉴于以上所述,需要这样一种装置和方法,其用于在衬底上沉积层,减少气相反应问题。更具体地说,这样的装置和方法应该只加热衬底的表面并提供高的升温和降温速率,即低热积存量。这样的装置和方法优选满足一般的和特定的参数,如均匀的层厚、光滑和平整的层表面、一致的层沉积和颗粒尺寸、低应力膜、纯度和整体性。
发明内容
根据本发明的实施例,提供一种用于在衬底上沉积层的装置。该装置包括一反应腔室和一气体注入器,该气体注入器被配置成注入至少一种气体至反应腔室中。该装置还包括一连续波电磁辐射源、一位于反应腔室内的台座(stage)、和一位于连续波电磁辐射源和台座之间的聚焦光学系统。上述台座被配置成用以在其上安放衬底。上述聚焦光学系统则被配置成用于使来自连续波电磁辐射源的连续波电磁辐射聚焦,而成为衬底的上表面上的连续波电磁辐射线。该连续波电磁辐射线优选延伸跨过衬底的宽度或直径。上述装置进一步包括一平移机械装置,其被配置成使台座和连续波电磁辐射线彼此相对平移。
进一步根据本发明,提供了一种方法,用于在衬底上沉积一层或多层。该衬底初始被置于反应腔室中。将一种或多种气体引入到反应腔室中。确定平移辐射线的预定速度。这一预定速度是建立在多个因素基础上的,如用于处理衬底的热制法、衬底的特性、连续波电磁辐射的功率、辐射线的宽度、辐射线上的功率密度等等。
然后,连续波电磁辐射被从上述连续波辐射源发出,且其优选是准直的。该连续波电磁辐射随后被聚焦成辐射线,该辐射线延伸跨过衬底表面。然后辐射线相对该表面以恒定的预定速度平移。
引入的气体和辐射线所产生的热结合,引起至少一种气体反应并在衬底表面上沉积一层。该反应的不需要的副产物然后被从反应腔室中洗去。重复该过程直到预定厚度的层形成于衬底的上述表面上。
根据本发明的另一个实施例,提供了一种热流处理装置。该热流处理装置包括一连续波电磁辐射源、一台座、一聚焦光学系统和一平移机械装置。上述连续波电磁辐射源优选为一个或多个激光二极管。上述台座被配置成用以在其上安放衬底。上述聚焦光学系统优选位于连续波电磁辐射源和台座之间,且被配置成用于聚焦来自连续波电磁辐射源的连续波电磁辐射,使之成为衬底的上表面上的连续波电磁辐射线。上述连续波电磁辐射线的长度优选延伸跨过整个衬底宽度。上述平移机械装置则被配置成用于使台座和连续波电磁辐射线彼此相对平移,并优选包括用于牢固夹住衬底的夹具。
此外,进一步提供了一种用于热处理衬底的方法。连续波辐射被聚焦成为衬底的上表面上的辐射线。该辐射线以恒定的预定速度相对于该表面平移。这就使衬底的每个点都能够有基本均匀的热暴露量或称热历史。过程控制是通过调整扫描速度而非调整灯功率来实现的,因此简化了装置的控制。这允许高度局部地加热而不产生缺陷。
因此,本发明在任何给定的时刻只加热衬底表面的一小部分。这样就减少了总的辐射功率需求。事实上,在300mm的衬底上实现150kW/cm2的能量密度只需要5kW的辐射源,这是因为一次只有一段(chord)衬底被加热。
通过在任何给定时刻加热一块小区域,就可能只用几千瓦的辐射功率在衬底上达到每秒数百万度的升温速率。而且,升温速率这么高使上述上表面得以从环境温度升至1200℃或更高,而在整体衬底温度升高之前即被冷却至近似环境温度。
上述装置和方法能够在毫秒或更少的时间里将衬底表面加热至任何合理的温度。而且,因为辐射线只将热施加至衬底表面,所以气体反应只在该表面发生。在室温的反应是可忽略的,这就允许多种气体被同时注入而不导致衬底表面以外的不希望有的气相反应。这种方法能够在大气压力下执行,导致反应物的更快分解,因此能够有高的沉积速率。
根据本发明的另一个实施例,提供了一种热处理装置,其包括一台座、一连续波电磁辐射源、一系列透镜、一平移机械装置、一探测模块和一计算机系统。台座被配置成用于在其上安放衬底。连续波电磁辐射源被置于台座附近,且被配置成用于沿着朝向衬底的路径发射连续波电磁辐射。一系列的透镜被置于连续波电磁辐射源和台座之间。这一系列的透镜被配置成将连续波电磁辐射会聚成为衬底表面上的连续波电磁辐射线。会聚导致辐射聚焦,或集中到连续波电磁辐射线或者说朝向连续波电磁辐射线集中。平移机械装置被配置成用于使台座和连续波电磁辐射线彼此相对平移。探测模块被置于上述路径中,且被配置成用以探测连续波电磁辐射。在一个优选实施例中,探测模块被置于系列透镜之间,更优选的则是置于放大透镜(expander lens)和其余透镜之间,上述其余透镜被配置成用于会聚连续波电磁辐射。计算机系统被耦合到探测模块。而且,在一个优选实施例中,连续波电磁辐射线不超过500微米宽,且具有至少30kW/cm2的功率密度。
上述探测模块优选包括至少一个发射功率探测器,其被配置成用以探测从连续波电磁辐射源发射的连续波电磁辐射。该探测模块也优选包括至少一个反射功率探测器,其被配置成用以探测从上述表面反射的连续波电磁辐射。设置至少一个分束器,用以取样一部分被发射的连续波电磁辐射,或用以取样一部分被反射的连续波电磁辐射。该分束器优选被置于连续波电磁辐射模块和台座之间,更优选的则是置于一系列透镜之间,更加优选的是置于放大透镜和其余透镜之间,这些剩余透镜被配置成用于会聚连续波电磁辐射。在一个实施例中,发射功率探测器和反射功率探测器探测在810纳米的连续波电磁辐射。至少有一个温度检测器被配置成通过检测810纳米以外波长的连续波电磁辐射,来探测在连续波电磁辐射线下的上述表面的温度。一个滤波器优选被置于温度检测器和连续波电磁辐射线之间。该滤波器被配置成只允许810纳米以外波长的连续波电磁辐射到达温度检测器。该滤波器被配置成允许介于900纳米到2000纳米之间、特别是在1500纳米的光学高温计操作。
上述计算机系统优选包括:用以确定发射功率的程序,该发射功率是被发射到发射功率探测器的功率;用以确定反射功率的程序,该反射功率是被反射到反射功率探测器的功率;和基于被探测到的发射和/或反射功率、用以控制供应给连续波电磁辐射源的功率的程序。上述计算机系统也可包括反射率程序,用以确定反射率。反射率正比于反射功率除以发射功率。上述计算机系统也可包括温度程序,用以确定在连续波电磁辐射线处的表面温度。该温度正比于被吸收的功率,而被吸收功率等于发射功率减去反射功率。
上述系列透镜优选包括至少一个放大透镜,其被置于连续波电磁辐射源和台座之间。该至少一个放大透镜被配置成用于将一束从连续波电磁辐射源发射的连续波电磁辐射放大,使之成为连续波电磁辐射的一个放大束。上述系列透镜可进一步包括多个柱面透镜,它们被顺序设置在连续波电磁辐射源和台座之间。上述多个柱面透镜被配置成用于把连续波电磁辐射的放大束聚焦,而成为所述衬底表面上的连续波电磁辐射线。
上述连续波电磁辐射源包括多组相对的(opposing)激光二极管模块,其中上述多组相对的激光二极管模块中的每一组都是优选被独立控制的,而且,优选为每一组激光二极管提供一个独立的探测模块。
一交错组合器(interleave combiner)优选被置于连续波电磁辐射源和系列透镜之间。该交错组合器优选使用介电叠层以增强在连续波电磁辐射波长处的反射。优选通过上述系列透镜和交错组合器,在长于连续波电磁辐射的波长的波长处,测量来自衬底的热发射信号。交错组合器利用填充率(fill ratio)来增强光学系统,以减少系列透镜的尺寸。
也可设置一调整机械装置,以便使连续波电磁辐射源和台座彼此相对移动。这使上述计算机系统得以基于探测模块所进行的测量来控制调整机械装置,以便保持连续波辐射线聚焦到上述表面上。在一个可替换的实施例中,设置一反射表面,用以朝向连续波辐射线来重新导向散射的连续波辐射。
根据本发明的另一个实施例,提供了一种热处理方法。在一预定的时间长度上,以一预定的功率密度,加热一衬底的表面。这允许把该衬底的表面从环境温度(TA)加热到处理温度(TP),而距离所述表面一预定深度处的温度(TD),则保持在处理温度与环境温度之差的一半与环境温度之和以下(TD<=TA+(TP-TA)/2)。在一优选实施例中,上述预定功率密度至少为35kW/cm2,上述预定时间在100微秒到100毫秒之间,上述环境温度小于约500℃,上述处理温度超过约700℃,且上述预定深度是感兴趣深度的10倍,其中该感兴趣深度是硅器件结构的最大深度。
上述热处理方法也可包括初始先用热增强层来涂覆上述表面。而且,任何散射的连续波电磁辐射均可朝向辐射线被反射回来。连续波电磁辐射的发射功率和从所述表面反射的连续波电磁辐射的反射功率可被测量。然后反射功率可与发射功率比较。供应至连续波电磁辐射源的功率可基于这种比较而受到控制。而且,可在连续波电磁辐射线的焦点对来自衬底的热辐射进行独立的测量,该连续波电磁辐射线的波长基本不同于被反射连续波电磁辐射的波长。可在所述辐射线处的表面确定温度。而且,也可确定吸收率、反射率和发射率。
在聚焦之前,可选择衬底相对于扫描方向的最佳方位。该最佳方位是通过确保扫描方向和衬底的主滑移平面具有最少交叠而确定的。而且,衬底可以被预热。预热包括以上述连续波电磁辐射源进行一次或多次预扫描,且优选用加热板(hot plate)执行。
更进一步的是,根据本发明的系列透镜包括至少一个放大透镜和多个柱面透镜。放大透镜被置于连续波电磁辐射源和台座之间。该放大透镜被配置成将连续波电磁辐射束放大成为连续波电磁辐射的放大束。多个柱面透镜优选被顺序设置于上述的至少一个放大透镜和台座之间。多个柱面透镜被配置成将上述连续波电磁辐射的放大束聚焦成为衬底表面上的连续波电磁辐射线。至少一个放大透镜优选包括两个放大透镜,而多个柱面透镜具有球面外形或非球面外形。多个柱面透镜中有一些可能具有球面外形,而其它的则不具有球面外形。在多个透镜附近设置一个气体注入器,以在多个透镜之间循环冷却清洗气体。
进一步,提供了一种用于热处理装置的自动聚焦机械装置。该自动聚焦机械装置包括一连续波电磁辐射模块、一台座、至少一个光电探测器、一平移机械装置、一调整机械装置和一控制器。连续波电磁辐射模块被配置成将连续波电磁辐射聚焦成为衬底表面上的连续波电磁辐射线。台座被配置成在其上安放衬底。至少一个衬底光电探测器被耦合到台座。至少一个光电探测器被配置成用于测量连续波电磁辐射的强度。平移机械装置被配置成使台座和连续波电磁辐射模块彼此相对平移。调整机械装置被耦合到台座,且被配置成用于调整台座的高度、侧倾(roll)和倾斜(pitch)。最后,控制器被耦合到连续波电磁辐射模块、至少一个光电探测器、平移机械装置和调整机械装置。至少一个光电探测器优选包括三个嵌在台座内的光电探测器。这三个光电探测器和控制器被配置成用于测量台座相对于连续波电磁辐射模块的倾斜、侧倾和高度。
在使用中,连续波电磁辐射线自动聚焦于衬底的表面上。在设置了自动聚焦机械装置之后,将一个加工衬底(tooling substrate)置于台座上,该加工衬底具有穿过其中的至少一个孔隙。该至少一个孔隙与至少一个光电探测器对齐。然后上述至少一个孔隙发射出来自连续波电磁辐射源的连续波电磁辐射。其后在至少一个光电探测器处测量连续波电磁辐射的强度,并基于该强度调整台座和连续波电磁辐射源的位置。
然后,相对于彼此横向地平移台座和连续波电磁辐射源,以对齐加工衬底中的另一个孔隙和另一个光电探测器。然后将另一个孔隙暴露给来自连续波电磁辐射源的连续波电磁辐射。然后在另一个光电探测器处,探测连续波电磁辐射的另一个强度。最后,基于该另一个强度,相对于彼此地设定台座和连续波电磁辐射源的位置。重复这些步骤,直到台座相对连续波电磁辐射源处于预定的位置。
另一个实施例提供了一种用于热处理半导体衬底的方法。连续波电磁辐射被聚焦成连续波电磁辐射线,该连续波电磁辐射线部分地延伸跨过半导体衬底的表面。然后以恒定的预定速度,彼此相对地平移连续波电磁辐射线和所述表面。随后使辐射线沿其长度移动一定距离,该距离要么等于要么稍微小于其长度。再次使连续波电磁辐射线和所述表面以恒定的预定速度彼此相对地平移。这种过扫描(over-scanning)允许衬底的每个被暴露的点有基本均匀的热暴露量。
附图说明
为了更好地理解本发明的本质和目的,应参考下面的详细说明并结合附图,其中:
图1是不同现有技术的热处理的热曲线图形;
图2A是根据本发明的一个实施例,一个用于热处理衬底的装置的概略侧视图;
图2B是示于图2A中的衬底和台座的概略俯视图;
图3是根据本发明的另一个实施例,用于热处理衬底的另一个装置的概略侧视图;
图4是一种用于热处理衬底的方法的流程图;
图5是根据本发明的一个实施例,在热处理过程中,在衬底上或衬底中的固定点的温度图形;
图6是根据本发明的另一个实施例,用于沉积层至衬底上的装置的概略侧视图;
图7是根据图6中所示的本发明实施例,一种用于沉积层至衬底上的方法的流程图;
图8是根据图6中所示的本发明的实施例,在850℃和740托下的硅烷分解的蒙特卡罗仿真结果的图形;
图9A是根据本发明的另一个实施例,用于热处理衬底的另一个装置的侧视图;
图9B是图9A中所示的装置的斜视图;
图9C是根据本发明的另一个实施例,用于热处理衬底的另一个装置的后视图;
图10是示于图9A和9B中的交错组合器的概略侧视图;
图11是示于图9A和9B中的聚焦光学系统和探测模块的更详细的侧面剖视图;
图12是示于图9A和9B中的装置的样机的等距视图(isometricview);
图13是一种用于控制热处理的方法的流程图;
图14A是一个自动聚焦机械装置的部分横截面侧视图;
图14B是沿图14A中的线14B-14B′得到的加工衬底和台座的俯视图;
图14C是一种用于将连续波电磁辐射线自动聚焦于衬底的上表面上的方法的流程图;以及
图14D是在一孔隙处,实测能量密度与最佳焦点的垂直距离的关系曲线图。
各个附图中,相同的标识数字指示相应的部件。为便于引用,任何引用数字中的第一个数字一般指示出其中该引用数字第一次示出的图号。例如,102可在图1中找到,而1341可在图13中找到。
具体实施方式
图2A是根据本发明的一个实施例,一个用于热处理衬底的装置200的概略侧视图。热处理衬底指的是要求本发明的下述特征的任何热处理。这样的热处理的示例性实施例包括衬底的热退火或化学气相沉积(CVD)中所用的热处理,它们将通过余下的所有附图来说明。
装置200包括连续波电磁辐射模块201,被配置成在其上安放衬底214的台座216,以及平移机械装置218。连续波电磁辐射模块201包括连续波电磁辐射源202和聚焦光学系统220,该聚焦光学系统220被置于连续波电磁辐射源202和台座216之间。
在一优选实施例中,衬底214是任何合适的衬底,如单晶硅衬底、绝缘体上硅晶(Silicon on Insulator,SOI)、锗化硅或其合金、其上有硅层的玻璃或石英衬底,如用于制造薄膜晶体管(TFT)的衬底;等等。然而,将会认识到单晶硅衬底的热流处理比TFT衬底的热流处理更为困难,因为单晶硅衬底具有比TFT高得多的热导率,且单晶硅衬底的应用要求有更严格的热处理控制。
连续波电磁辐射源202能够发射诸如光这样的电磁辐射的“连续波”或射线。“连续波”意味着辐射源被配置成连续地发射辐射,即,不是爆发(burst)式辐射、脉冲式辐射或闪光式辐射。这与激光退火中所使用的激光相当不同,激光退火中通常使用爆发光或闪光。
而且,因为需要在衬底表面处或其附近被吸收连续波电磁辐射,所以辐射的波长处于衬底吸收辐射的波长范围内。对于硅衬底的情况,连续波电磁辐射优选具有波长介于190纳米和950纳米之间。更优选的是,其具有近似为808纳米的波长。
可替换地,可使用工作于UV波长或其附近的高功率连续波电磁辐射激光源,在此情况下由这类连续波电磁辐射激光源产生的波长为大多数否则的话会是反射性的材料所强烈吸收。
在一个优选实施例中,连续波电磁辐射源202能够连续地发射辐射至少15秒。而且,在一个优选实施例中,连续波电磁辐射源202包括多个激光二极管,其中每个激光二极管在同一波长产生均匀的且空间相干的光。在另一个优选实施例中,一个或多个激光二极管的功率处于0.5kW到50kW的范围内,但优选的是约5kW。合适的激光二极管是由美国加利福尼亚州的Santa Clara的Coherent Inc.、加利福尼亚州的Spectra-Physics生产的;或是由美国密苏里州的圣查尔斯(St.Charles)的Cutting Edge Optronics Inc.生产的。一种优选的激光二极管是由Cutting Edge Optronics生产的;然而另一种合适的激光二极管是Spectra-Physics的
Figure C0381197700171
多条模块(MBM),其中每个激光二极管模块提供40~480瓦特的连续波功率。
聚焦光学系统220优选包括一个或多个准直仪206,用以使来自连续波电磁辐射源202的辐射204准直,而成为基本平行的射束208。这一准直的辐射束208然后被至少一个透镜210聚焦,而成为衬底214的上表面224上的辐射线222。
透镜210是任何合适的透镜或系列透镜,能够将辐射聚焦成一条线。在一个优选实施例中,透镜210是柱面透镜。可替换地,透镜210可以是一个或多个凹透镜、凸透镜、平面镜、凹面镜、凸面镜、折射透镜、衍射透镜、菲涅耳透镜、梯度折射率透镜,等等。下面将参考图11详细说明聚焦光学系统220。
台座216是任何能够在平移时牢固地固定衬底214的平台或夹具,如下所述。在一优选实施例中,台座216包括用于抓持衬底的装置,如摩擦系统、重力系统、机械系统或电气系统。用于抓持的合适装置的实例包括机械夹子、静电或真空夹具等等。
装置200也包括平移机械装置218,其被配置成用以使台座216和辐射线222彼此相对平移。在一个优选实施例中,平移机械装置218被耦合到台座216,以相对于连续波电磁辐射源202和/或聚焦光学系统220来移动台座216。在另一个优选实施例中,平移机械装置218被耦合到连续波电磁辐射源202和/或聚焦光学系统220上,以相对于台座216移动连续波电磁辐射源202和/或聚焦光学系统220。在又一个实施例中,平移机械装置218移动连续波电磁辐射源202和/或聚焦光学系统220,以及台座216。可采用任何合适的平移机械装置,如传送带系统、齿条齿轮系统等等。
平移机械装置218优选被耦合到控制器,以便控制扫描速度,台座216和辐射线222以该扫描速度彼此相对平移。而且,台座216和辐射线222相对于彼此的平移优选是沿着垂直于辐射线222且平行于衬底214的上表面224的路径。在一个优选实施例中,平移机械装置218以恒定速度移动。优选的是,该恒定速度对35微米宽的辐射线来说近似为2cm/s。在另一个实施例中,台座216和辐射线222相对于彼此的平移不是沿着垂直于辐射线222的路径。
图2B是沿着图2A中的线2B-2B′得到的衬底和台座的概略俯视图。在一个优选实施例中,衬底214是一圆形衬底,其直径为200毫米或300毫米,且其厚度近似为750微米。而且,在一优选实施例中,辐射线222具有的长度至少延伸跨过衬底214的整个直径或宽度。辐射线222也优选具有介于3到500微米之间的宽度228。然而,在一优选实施例中,辐射线222具有近似为35微米的宽度228。所述宽度是在最大辐射强度的一半(也就是公知的半极大全宽度(FWHM))处实测到的。在所有实施例中,所述线的长度比其宽度长。在一个优选实施例中,辐射线222线性地在衬底214上往返移动,而辐射线垂直于移动方向,即不论在什么时候该线都保持和衬底的一条固定线或者说弦252平行。
在上述辐射线处的优选功率密度在10kW/cm2和200kW/cm2之间,其中标称范围约为60kW/cm2。在这样的功率密度下不容易实现辐射整个衬底表面,但具有这样强度的辐射线能够扫描整个衬底。例如,一个实验中,使用具有70kW/cm2的峰值功率密度的400微米宽辐射线,以100cm/s进行扫描,将衬底的表面加热到约1170℃,其中升温和降温的速率超过每秒四百万度。
图3是根据本发明的另一个实施例,用于热处理衬底的另一个装置300的概略侧视图。这个实施例示出聚焦光学系统320的另一种配置。在这一实施例中,聚焦光学系统320包括透镜210和一个或多个辐射导向器,如一个或多个光纤308和棱镜306。也可使用其他辐射导向器如波导、镜子或扩散器(diffuser)。
来自连续波电磁辐射源202的辐射被导向到棱镜306,该棱镜再将辐射重新导向到一个或多个光纤308。辐射通过光纤308而被传输到透镜210,在此,它被聚焦成辐射线222。
可以理解,前述的聚焦光学系统220(图2A)或320的许多种不同组合均可用于传输和聚焦来自连续波电磁辐射源的辐射,使之成为辐射线。而且,可利用激光二极管的线性阵列作为辐射源。此外,可以使用任何产生均匀辐射分布的合适装置,如辐射扩散器。
图4是一种用于热处理衬底214(图2A)的方法的流程图400。在步骤402,提供如上对应图2和3所描述的装置。然后在步骤404,控制器226(图2A)确定辐射线222(图2A)和衬底将要彼此相对移动的扫描速度。这一确定是基于处理衬底的热制法、衬底的特性、连续波电磁辐射源202(图2A)的功率、辐射线的宽度、在辐射线处的功率密度等进行的。
在步骤406,连续波电磁辐射源202(图2A)发射连续波辐射204(图2A)。在步骤408,辐射204优选被准直而成为准直的辐射束208(图2A)。在步骤410,平行的辐射束208(图2A)被聚焦成辐射线222(图2A)。在步骤412,按照上述预定的扫描速度,台座216(图2A)和辐射线222(图2A)借助于平移机械装置218(图2A)彼此相对平移。这种平移是沿着垂直于辐射线222并平行于衬底的上表面的路径进行的,以使得辐射线在整个衬底214上往返移动。在一个优选实施例中,平移机械装置218以近似为2cm/s的速度,在衬底的上表面上扫描辐射源以及聚焦光学系统。
图5是根据对应图4所描述的方法,在所执行的热处理过程中,在衬底上和穿过衬底的固定点,温度对时间和深度的关系曲线图形500。温度轴502指示出在该固定点的温度介于0到1400℃之间。轴504指示出在该固定点从上表面(图2B)到衬底214(图2B)内的深度。轴506指示出扫描开始后在某点的以秒计的时间。上述固定点被假定位于508。
随着辐射线222(图2B)扫描跨过衬底214(图2B)的上表面(图2B),该辐射线使衬底上的一条线或者说一条弦受到其产生的热量的影响。在辐射线到达固定点之前,在该固定点处的温度,包括在该固定点位于上表面处的温度和穿过衬底的横截面处的温度,是环境温度,如标识数字516所指示的那样。一旦辐射线在508处到达所述固定点,在上表面处的温度就以近似1e6℃/s的速度升温至处理温度,如1200℃(或为处理所需的其它期望温度),如标识数字510所示。同时,衬底起到热沉的作用,导致偏离表面处的温度急剧降低,如标识数字512所示的那样。例如,如图5所示,在距上表面上的点0.04cm处,温度近似为200℃。因此加热效应通常只局限在上表面。这是极为有利的,因为通常只是衬底的上表面224的附近区域需要热处理(图2A)。
随着辐射线经过并离开固定点,温度快速下降,如标识数字514所示。同样,这是因为衬底起到热沉作用,将上表面的热扩散到余下的温度较低的衬底。而以同时加热整个衬底的现有技术的加热系统,如RTP,是做不到这一点的,因为整个衬底都处于升高的温度,因此不能容易地将热耗散到温度较低的区域。事实上,不能以图5中所示的时间尺度和RTP作比较,因为叠加的RTP曲线在1100℃处将产生几乎平整的平面,其持续约1秒钟。1秒钟比图5所示的时间段长400倍。
因此,不同于现有处理,本发明以预定的功率密度加热衬底的一个表面并持续预定的时间长度(约为1毫秒),从而使衬底的该表面从优选不超过500℃的环境温度(TA)被加热到优选高于700℃的处理温度(TP)。同时,在距离所述表面预定深度处的温度(TD)保持在环境温度与处理温度减去环境温度之差的一半之和以下,亦即TD<=TA+(TP-TA)/2。该预定深度近似为感兴趣深度的十倍,即硅器件结构的最大深度的十倍。在典型的硅衬底中,器件结构的最大深度约为3微米。
对衬底主体的上述热传递有利于均匀的热暴露,这是因为热量有足够的时间从局部的强热吸收区域扩散至低热吸收区域。而且,图案密度效应是可以和RTP比较的。然而,时间尺度短得足以将热传递的扩散深度限制到数微米,这和RTP情形中衬底的几百微米的厚度相反,因此大幅减少了总的所需功率。衬底的主体未被明显加热,从而为温度下降提供了理想的热沉。
现有技术的激光退火的一个担心是由快速加热相对小的衬底区域而引起的和应力相关的缺陷。因此,采用了实验方法来测试本发明的热流处理是否会在衬底中引起任何和应力相关的缺陷。峰值应力发生在最大温度梯度附近,而非最高温度附近。如果辐射线适当地窄,且加热深度适当地浅,则可以使最高温度区域移动而离开最大热梯度区域,从而增加滑移窗口并减少缺陷。在实验的过程中,在400微米宽的辐射线下,用60kW/cm2的峰值功率密度,以20cm/s的速度扫描取样。本发明能够使峰值温度移动离开峰值热梯度,因此能够使适合于1keV硼注入的70纳米结的超浅结(USJ)形成,而不会引入位错。仅观察到了典型的和注入相关的缺陷。
图6是根据本发明的另一个实施例,用于沉积层至衬底上的装置600的概略侧视图。装置600类似于示于图2A、2B中的装置200和示于图3中的装置300。具有相同标识数字的元件是与图2A和2B中所示的相同的元件。此外,装置600可用于执行沉积处理,如CVD、ALD等等。
除了上面对应图2A和2B所描述的元件,装置600示出一个反应腔室602,其中容纳有许多元件。至少一个注入器604被用于引入或注入一种或多种气体616至反应腔室602中。气体注入器604优选包括一种或多种气体源612(1)~612(N),它们通过导管610而以流体可通过的方式耦合到气体歧管606中的一个或多个气体入口608。气体注入器604可被置于于反应腔室602内任何合适的位置。例如,气体可在反应腔室的一侧注入,并以垂直于辐射线和衬底表面之间的相对移动的方向流过衬底表面,或者气体可从衬底上面注入,如图所示。
在图6所示的实施例中,连续波电磁辐射通过准直仪准直,并通过棱镜306向衬底转向,且通过透镜210聚焦成一个辐射线。然而,应该理解聚焦光学系统220可包括任何合适的聚焦光学系统,这些聚焦光学系统能够聚集能量线至衬底214的上表面224上,如上所述。进一步应该理解,聚焦光学系统能够被置于腔室外面,而辐射经透明窗口传输到腔室内。更进一步的是,腔室和/或气体源可采用任何合适的形状和/或配置。
图7是根据图6中所示的实施例,一种用于沉积一层或多层至衬底上的方法的流程图700。在步骤702,衬底214(图6)被置于反应腔室602(图6)中。然后,在步骤704,含有层614(图6)所需的原子或分子的一种或多种气体616(图6)如氨气(NH3)和二氯硅烷(DCS),被引入含有衬底214(图6)的反应腔室602(图6)。
平移辐射线222(图6)的预定速度,如下所述,是在步骤706确定的。这一预定速度是建立在多种因素基础上的,如用于处理衬底的热制法、衬底特性、连续波电磁辐射功率、辐射线宽度、在辐射线处的功率密度等等。在一优选实施例中,该预定速度约为2cm/s。
然后,在步骤708,从连续波电磁辐射源202(图6)发射连续波电磁辐射,如上所述。在步骤710,优选通过准直仪206(图6)使连续波电磁辐射准直。
随后,在步骤712,连续波电磁辐射被聚焦成辐射线222(图6),该辐射线延伸跨过衬底的上表面224(图6)。在一个优选实施例中,该辐射线的线宽228(图6)近似为35微米宽。然后,在步骤714,上述辐射线相对于所述表面以恒定的、在上面所确定的预定速度平移。这种平移是在控制器226(图6)的控制下,通过平移机械装置218(图6)进行的。
引入的气体616(图6)和辐射线所产生的热结合,引起至少一种气体616反应并在衬底表面上沉积层614(图6)。这种反应可以是气体之间的化学反应,一种或多种气体的分解,等等。在步骤716,不需要的反应副产物从反应腔室中被冲走。
重复上述过程,直到具有预定厚度的层614(图6)形成于衬底214(图6)的上表面224上(图6)。预定的扫描速度优选比热流退火所需速度快,这是因为如上所述,需要多次扫描以形成膜/层。通常,每个沉积的层在8~10埃之间。所需的膜/层从用在闪速存储器中的隧道氧化物的20埃到用于隔离器应用中的1500埃之间变化。因此,优选的扫描速度通常在每秒几厘米到每秒1米的范围内。优选的线宽228(图6)和上面所描述的线宽相同。
上述化学反应是受控的,这要借助于:通过调整连续波电磁辐射或辐射线,来控制衬底表面的温度;控制引入到反应腔室中的气体的量和/或比率;以及控制反应腔室内的压力。
上述方法能够在一毫秒或更短的时间内将衬底表面加热至任何合理的温度。而且,当紧邻表面的气体被辐射线加热时,气体反应只在该表面处或其附近发生。这种加热非常短暂,因为辐射线不断移动,因此只有紧邻表面的气体反应。由于远离表面的气体根本未变热,防止了不希望有的气相反应,这样就允许多种气体同时注入,而不会导致远离衬底表面的不希望有的气相反应。
在一个优选实施例中,上述方法是在几托的压力到大气压力以上的压力之间的压力下执行的,其中大气压力是优选的。图8描绘仿真结果,该结果显示,反应物的充分分解能够在这样短的时间尺度上、在上述压力下发生。而且,在一个优选实施例中,辐射线的温度依赖于所沉积的膜/层,但通常在600℃到900℃的范围内。
图8是根据图6所示的本发明实施例,在850℃和740托下的硅烷分解的蒙特卡罗仿真结果图800。这一仿真在较低压力下时复制了Meyerson、Scott和Tsui在Chemtronics 1(1986)150中公布的确定性模型,该文作为参考在此并入。
图形800显示出,硅烷,例如本身为一种典型CVD气体的二氯硅烷(DCS),分解为在衬底表面沉积所需的分子。分解发生在接近大气压力的740托和850℃的温度下。在该温度和压力下,分解发生的总时间约为6×10-4秒。这样的温度和扫描速度只能由本发明提供,因为现有技术的方法不能在如此短的时间内达到这样高的温度,同时还提供足够的时间让反应发生。
上述用于沉积层至衬底上的装置和方法具有许多优点。例如,因为在升高的温度停留的时间短暂,所以处理的热积存量低。
而且,因为辐射线只施加热至衬底的表面,所以气体反应只在表面处发生。这导致气相输送界限值降低。这也导致远离表面的气相反应减少,因此避免了在衬底表面上形成不希望有的颗粒。此外,上述方法能够在大气压力下执行,导致反应物如硅烷更快地分解,因此使得高沉积速率成为可能。
图9A是根据本发明的另一个实施例,用于热处理衬底的另一种装置900的侧视图。装置900类似于图2A和2B所示的装置200、图3所示的装置300、和图6所示的装置600。除了下面所述的差异,同样名称的元件是相同的。
装置900包括:连续波电磁辐射模块902,被配置成用以在其上安放衬底906的台座904,和用于使台座904和连续波电磁辐射模块902彼此相对移动的平移机械装置(未示出)。连续波电磁辐射模块902优选包括至少一个连续波电磁辐射源908(A+B)和被设置在连续波电磁辐射源908(A+B)与衬底906之间的光学系统910(A+B)。如上所述,衬底906是任何合适的衬底,如单晶硅衬底、绝缘体上硅晶(SOI)、锗化硅或其合金、用于制造薄膜晶体管(TFT)的其上有硅层的玻璃或石英衬底等等。
连续波电磁辐射源908(A+B)类似于上面对应图2A所描述的连续波电磁辐射源202。在一个优选实施例中,连续波电磁辐射源908(A+B)提供高达9kW的辐射,被光学系统910(A+B)聚焦成衬底表面上的辐射线,该辐射线为30微米宽,且至少为300毫米长。而且,在一优选实施例中,连续波电磁辐射源908(A+B)包括位于装置900的一边的15个激光二极管模块908(A),以及位于装置900的另一边的16个激光二极管模块908(B)。激光二极管模块908(A)相对于激光二极管模块908(B)是交错的,如图9B所示,即从激光二极管模块908(A)发出的辐射和从激光二极管模块908(B)发出的辐射是相互交叉的。而且,在一个优选实施例中,每组相对的激光二极管模块都电耦合到一个或多个电源916。可替换的是,每个单个激光二极管模块,或激光二极管模块的组合,可由一个或多个电源驱动。电源916电耦合到计算机系统914。
在一优选实施例中,使冷却液体比如水,在连续波电磁辐射源908(A+B)中循环,以保持其冷却,这在本领域是公知的。
光学系统910(A+B)包括:聚焦光学系统910(A),其类似于上述的聚焦光学系统;和交错组合器910(B)。交错组合器910(B)在下面对应图10进行描述,而聚焦光学系统910(A)在下面对应图11进行描述。
装置900也优选包括耦合到计算机系统914上的探测模块912(A+B+C),如下面对应图11所做描述。
计算机系统914包括用于执行下面对应图13所描述的方法的指令和/或程序。
图9C是根据本发明另一个实施例的用于热处理衬底962的另一个装置950的后视图。在这个实施例中,连续波电磁辐射线不延伸跨越衬底962的整个宽度,而只是部分地延伸跨越衬底的直径或宽度。换句话说,连续波电磁辐射线所具有的长度960比衬底的直径或宽度968短。
在使用中,连续波电磁辐射线优选多次扫描通过衬底表面。每次连续扫描优选和前一个扫描区域重叠,以便改善沿辐射线长度方向的热暴露量的均匀性。线移动机械装置966被用于使连续波电磁辐射线和衬底沿该辐射线的长度方向彼此相对地移动,即基本和该线的长度成一条线且基本垂直于扫描方向。上述重叠则以类似于RTP中使用的旋转平均的方式,平均衬底上所有各点的热暴露量。
线移动机械装置966优选平移连续波电磁辐射模块(辐射源954和透镜956),从而使连续波电磁辐射线相对于衬底平移。可替换地,台座964可相对于所述线平移,或该线和该台座相对于彼此都平移。
此外,这种实施例要求较少的激光二极管模块966,因为连续波电磁辐射线的长度960只需要部分跨过衬底962的直径或宽度。例如,可使两个激光二极管模块在三个相对的激光二极管模块966之间交错。
图10是示于图9A和9B中的交错组合器910(B)的概略侧视图。交错组合器910(B)构成光学系统910(A+B)的一部分,且被用于提高所发射的连续波电磁辐射的填充比,如下所述。在一优选实施例中,交错组合器910(B)是交错的棱镜组件。
而且,装置900(图9A和9B)的一个优选实施例包括微透镜(未示出),用以准直每个激光二极管模块908(A)或908(B)的快轴输出。在这个优选实施例中,每个激光二极管模块的间距1002是2.2毫米,而快轴准直微透镜的孔径1004是0.9毫米。填充比是暴露于连续波电磁辐射的面积除以连续波电磁辐射模块的总面积。因此举例来说,如果透镜系统所提供的射束覆盖区域为1厘米长、900微米宽,且每个激光二极管模块的间距为2.2毫米,那么填充比就是900微米/2.2毫米或41%,即连续波电磁辐射模块的发射区域只有41%实际发射连续波电磁辐射,而该激光模块的正面上59%的空间或者说区域为暗区,暗区是1厘米长、1.3毫米(2.2-0.9)宽。这导致基本空的、其中没有连续波电磁辐射的区域。
为了提高光学性能,优选通过交错组合器910(B)来增加填充比,以便要求较小的后续透镜系列910(A+B)(见图9A和9B)。在一个优选实施例中,交错组合器910(B)使填充比翻倍。例如,来自第四和第五个激光二极管模块的连续波电磁辐射输出交错于从第二和第三个激光二极管模块发出的连续波电磁辐射之间,如图10所示。相应地,功率输出就是五个激光二极管块被压缩到三个激光二极管块的空间内的功率输出。这使得随后的射束扩张和聚焦更为容易,从而能够实现合适的高功率密度。
在一优选实施例中,交错组合器910(B)在合适的光学玻璃如BK7或熔融氧化硅上使用了多层介电镜,以增强对连续波电磁辐射波长的反射。
图11是聚焦光学系统910(A)和探测模块912(A+B+C)的更详细的侧面剖视图。聚焦光学系统910(A)的目的是将从连续波电磁辐射源908(A+B)(图9A和9B)发射的连续波电磁辐射聚焦成衬底906表面上的连续波电磁辐射线。在一个优选实施例中,聚焦光学系统910(A)包括组成一个系列的7个透镜,它们分别被标为A~G。所有这些透镜A~G优选为具有球面外形或平面(Plano)外形的柱面透镜。选择这种具有球面外形的柱面透镜,为的是它们和具有非球面外形的柱面透镜相比相对易于制造且成本低。然而,在可替换的实施例中,较少的非球面透镜或具有非球面外形的柱面透镜能够被用来取代所示的7个具有球面外形或平面外形的柱面透镜。而且,除了聚焦连续波电磁辐射线以外,柱面透镜整体显著地减少了任何光学像差。
而且在一优选实施例中,透镜A是放大透镜,其具有基本上为光学平面的入射面和柱面的出射面。上述放大透镜被用来放大由交错组合器910(B)(图9A和9B)聚集的连续波电磁辐射,以便随后由余下的聚焦透镜B~G聚焦。例如,在一优选实施例中,连续波电磁辐射束被放大到20毫米宽且快轴散度被减小到小于0.1°。该减小的散度使得较窄的线宽能够实现。而且,较宽的射束使得能够为数值为0.4的孔径实现可接受的工作距离。在由余下的透镜B~G聚焦之后,最终的射束在衬底906的表面近似为30微米宽。
最后一级透镜G优选具有相对的基本上为光学平面的入射面和出射面,且仅起到石英窗口的作用以将晶片环境和透镜环境隔离。它也在一定程度上使焦点离开辐射源。
在一优选实施例中,从上述窗口到衬底的距离近似为8毫米。而且,在一优选实施例中,透镜A~G具有下列规格数据:
入射束半径=2.750000;视场角=0.250000;初级波长=810纳米
Figure C0381197700271
其中半径和厚度以毫米为单位。“表面”指的是透镜的表面,其中“entry”指透镜的入射表面,而“exit”指透镜的出射表面。材料指的是制成透镜的材料。“X”、“AX”和“SX”数据指的是孔隙的形状,矩形和椭圆形。其中“X”意味着特殊孔隙数据,“S”意味着在前一列中的孔隙半径数是计算出的而非规定的,“A”意味着孔径光阑,基本上就是射线一定能通过的窗口。例如,透镜A(图11)的入射表面“Aentry”具有0毫米的半径,即其是平面,厚度为3毫米,孔隙半径为4毫米,具有矩形形状,且由BK7玻璃制成。上面的表格是用Sinclair Optic的
Figure C0381197700272
射线跟踪软件生成的。
透镜A~G优选借助于框架1102固定在聚焦光学系统910(A)内。在一个优选实施例中,框架1102是以经过加工的不锈钢制成的。框架1102也优选包含某些公差,以便如果透镜在使用中没有对准的话可确保鲁棒的系统,其中任何不对准仅使焦点线朝向衬底表面移动或离开衬底表面移动(或者它侧向移动)。这种焦点的移动随后通过一个自动聚焦系统来调整,如下面对应图14A~D所述。而且,在一种优选应用中,清洗气体被泵入上述框架中,并通过气体注入器1104来进入透镜之间的空间1108,以保持透镜冷却。上述清洗气体优选为室温(以避免在透镜表面形成凝结)的氮气。
探测模块912(A+B+C)优选包括至少一个反射功率探测器912(A)、至少一个发射功率探测器912(B)、和/或至少一个分束器912(C)。发射功率探测器912(B)被配置成用以探测从连续波电磁辐射源908(A+B)(图9A和9B)发射的一部分连续波电磁辐射,而反射功率探测器912(A)被配置成用以探测从衬底906的表面反射的一部分反射连续波电磁辐射。发射功率探测器912(B)监视连续波电磁辐射源的输出,而反射功率探测器912(A)被用来探测反射率、发射率、衬底所吸收的能量和/或衬底的温度。合适的发射功率探测器912(B)和反射功率探测器912(A)由Hamamatsu制造。
分束器912(C)被配置成通过朝向发射功率探测器912(B),反射被发射的、入射到基本为平面的第一表面上的一部分连续波电磁辐射,来取样一部分被发射的连续波电磁辐射。在一优选实施例中,分束器912(C)的第二平面表面与第一平面表面相对,其被用于将从衬底表面反射的连续波电磁辐射朝向反射功率探测器912(A)反射。分束器优选被置于连续波电磁辐射源908(A+B)和台座904(图9A和9B)之间。分束器912(C)也优选涂覆抗反射涂层,如MgF。在使用中,分束器912(C)反射或取样不超过1%的由连续波电磁辐射源908(A+B)发射的连续波电磁辐射。
在使用中,探测到的发射功率与探测到的反射功率的比率提供了一种在衬底处的吸收的测量值。根据普朗克的热辐射定律,吸收是这样的过程:通过它,辐射能量被吸收,转化为其它形式的能量如热,并随后以更长的波长再辐射。
在一优选实施例中,发射功率探测器912(B)和反射功率探测器912(A)探测在810纳米的连续波电磁辐射。而且,在一优选实施例中,至少一个探测器912(A)被配置为温度检测器,以探测处于连续波电磁辐射线下的衬底的温度。为了探测温度,上述温度检测器探测波长为810纳米以外、如1500纳米的连续波电磁辐射。这是通过将滤波器1106设置在反射连续波电磁辐射和探测器912(A)之间来实现的。滤波器1106被配置成只允许具有810纳米以外波长的连续波电磁辐射到达探测器912(A),使其起到光学高温计的作用,且确保被探测到的信号是发射信号而非从光源反射的信号。换句话说,只有被反射的辐射具有810纳米以外的波长。在一优选实施例中,滤波器被配置成允许光学高温计在900纳米到2000纳米之间工作,其中1500纳米是优选的波长。然而,这样的温度测量易受发射率变化影响。
反射功率探测器912(A)和发射功率探测器912(B)也优选包括针孔孔隙,以使探测到的信号最大化,同时使任何杂散辐射的集合最小化,由于装置内透镜的非零反射率,上述杂散辐射是可能在光学系统内散射产生的。
在一优选实施例中,优选设置15对反射功率探测器912(A)和发射功率探测器912(B),在该优选实施例中包括15和16个相对的激光二极管模块。反射功率探测器912(A)优选每隔一个就配置成如上所述的温度检测器。
一个可替换的实施例还包括反射器1110,它们被设置在聚焦光学系统910(A)和衬底906之间。反射器1110被配置成将从衬底表面反射的辐射反射回连续波电磁辐射线上。在一优选实施例中,反射器1110是柱面镜,其曲率中心位于透镜的焦点。
图12是示于图9A和图9B中的装置900的一个样机的等距视图。如所看到的那样,一衬底,如半导体晶片,被定位在腔室1202内的台座904上。连续波电磁辐射模块902被耦合到腔室1202。而且,一平移机械装置,如平移机械装置218(图2),使台座904相对于连续波电磁辐射模块902移动,如箭头1206所示。某些电子装置,如计算机系统914(图9A和9B),包含在机座1210内。装置900优选被耦合到工厂接口1208,以将衬底906传送至装置900内或从装置900中传送出来。
图13是用于控制热处理的方法1320的流程图。一旦方法1320如同在步骤1322那样开始,在步骤1323,衬底就在台座上被定向,以便随后的扫描方向使得热处理最优化。这样做是因为衬底的不同方向具有不同的机械特性,且屈服强度可能在一个方向上比其他方向上大。一般在衬底上设一个凹口(notch)以指示结晶方向。在步骤1324,可选择用热增强层涂覆衬底904的表面(图9A和9B)。上述热增强层是由具有高吸收特性的材料如掺杂的多晶硅或氮化硅,在氧化物的缓冲层上制成的,和/或由具有抗反射特性的材料制成。热增强层有助于产生对衬底表面状况的不敏感性。例如,如果衬底的表面是高度反射性的或非均匀的,那么热增强层就帮助保持衬底基本均匀的热暴露量。
然后,在步骤1326,用从连续波电磁辐射模块902(图9A和9B)发射的连续波电磁辐射线来照射衬底,从而在预定长度的时间上,以预定的功率密度加热衬底表面。上述预定的功率密度优选大于30kW/cm2(优选为100kW/cm2),且预定的时间优选介于100微秒到100毫秒之间(优选约为1毫秒)。这样将衬底从低于约500℃的环境温度加热至高于约700℃的处理温度。在距离表面预定深度处的温度,如在10倍于硅器件结构的最大深度处的温度,保持在处理温度与环境温度之差的一半与环境温度之和以下。
如上所述,连续波电磁辐射线可延伸跨越衬底的整个表面或跨越部分衬底。
在包含有反射器1110(图11)的实施例中,在步骤1328,任何指向反射器的反射光或散射光被反射回辐射线。
然后在步骤1330,所发射的功率值被发射功率探测器912(B)测量并被传送到计算机系统914(图9A和9B)。然后,在步骤1332,所反射的功率值被反射功率探测器912(A)测量并被传送到计算机系统914(图9A和9B)。然后,在步骤1334,计算机系统914(图9A和9B)比较反射功率和发射功率,并在步骤1336相应地控制供应至连续波电磁辐射源的功率。例如,连续波电磁辐射源可用同样的发射功率来加热不同的衬底。计算机系统控制电源916(图9A和9B)的功率,电源916可依次控制单个的激光二极管模块、激光二极管模块组、或同时控制所有的激光二极管模块。通过这种方式,单个激光二极管模块,或激光二极管模块(或区域)的组合能够被实时控制。
在一个可替换的实施例中,在步骤1335,基于测量到的发射功率和反射功率,调整机械装置(下面将对应图14A至图14D描述)可实时调整台座的高度。调整台座高度可将衬底的表面移入焦点或移出焦点,以便独立于总的功率来控制在衬底表面上的连续波电磁辐射线的功率密度。
在步骤1338,测量到的反射功率和发射功率可被用来计算衬底的反射率、衬底的发射率、衬底吸收的能量、和/或衬底的温度。反射率正比于反射功率除以发射功率。在长于连续波电磁辐射源的波长的波长上,通过光学系统和选择性地通过交错组合器,测量来自晶片的热发射信号。
相似地,温度正比于所吸收的功率,该功率等于辐射功率减去反射功率。计算出的真实温度是从受到探测器校准的反射功率和发射功率之差导出的。精确的方法类似于用于RTP的现有的发射率补偿方案,正如本领域所公知的。在美国专利6406179、6226453、6183130、6179466、6179465、6151446、6086245、6056433、6007241、5938335、5848842、5755511、5660472中描述了这类计算;所有上述专利作为参考在此并入。
通常随后在步骤1340除去热增强层——如果有的话。
进一步地在一个可替换实施例中,热暴露量的均匀性可通过过扫描来改善。过扫描使用比衬底宽度长的辐射线。在每次扫描之后,在步骤1341,该辐射线沿其长度方向稍微移动,从而当慢轴均匀性随时间下降时,使得总的热均匀性得到改善。辐射线的这种移动有效地平均了衬底上的热暴露量。
图14A是自动聚焦机械装置1400的部分横截面侧视图,而图14B是示于图14A的加工衬底和台座1414沿线14B-14B′得到的俯视图。自动聚焦机械装置1400被用于使来自连续波电磁辐射模块902的连续波电磁辐射线聚焦到衬底的上表面上。
聚焦机械装置1400优选包括多个嵌入在台座1414中的光电二极管传感器1408。每个光电二极管传感器1408电耦合到控制器1404。在一优选实施例中,设置了5个光电二极管传感器1408,然而一般地说,应该是至少有3个光电二极管传感器1408,以解决纵倾(绕X轴)、侧倾(绕Y轴)、和高度(沿Z轴)中的偏差,如下面所解释的。在所述系统的安装过程中,使用光电二极管传感器1408来验证加工衬底的上表面处于连续波电磁辐射源的焦平面内。
在一优选实施例中,中央光电二极管传感器被用于设定高度,中央光电二极管传感器的左边和右边的光电二极管传感器则用于基本消除台座的倾斜或者说侧倾(绕Y轴的旋转)。首(leading)光电二极管传感器和尾(trailing)光电二极管传感器被用于消除台座的倾覆(tip)或者说纵倾(绕X轴的旋转)。调整是基于使光电二极管传感器信号的最大化做出的。
上述验证需要加工衬底1412,其通过衬底加载臂而被加载到台座1414上。加工衬底1412具有针孔孔隙1410,其直接位于每个光电二极管传感器1410的上方。这些针孔孔隙的直径即使在最佳焦点处也比辐射线的宽度小。
控制器1404也耦合到调整机械装置1402。调整机械装置1402被配置成按照控制器的要求,升高或降低台座1414(沿Z轴)、调整纵倾(绕X轴)、或调整侧倾(绕Y轴),以将连续波电磁辐射线聚焦到加工衬底的表面上。
在一优选实施例中,调整机械装置1402包括至少三个齿条齿轮驱动器1406,各自都在齿条齿轮驱动器的螺杆的一个末端可旋转地耦合到台座。在使用中,如果所有三个齿条齿轮驱动器1406被一起升高或降低,台座904就被升高或降低。然而,如果单个齿条齿轮驱动器被降低或升高,则可调整台座的纵倾和侧倾。然而,应该理解可使用任何合适的调整机械装置1402。
控制器1404也耦合到平移机械装置218,以使连续波电磁辐射源908(A+B)和台座904相对于彼此地平移。
图14C是一种用于将连续波电磁辐射线自动聚焦于衬底的上表面的方法的流程图1420。一旦该方法于步骤1422开始,则在步骤1424,将加工衬底1412(图14A)置于台座上。然后在步骤1426,连续波电磁辐射源908(A+B)照射第一光电二极管传感器1408(图14A),其例如是位于加工衬底的中央下方的中央光电二极管。第一光电二极管传感器提供用于绝对高度调整的测量值。在步骤1428,第一光电传感器测量连续波电磁辐射的强度,并将该强度传送给控制器1404(图14A)。然后,在步骤1430,控制器指示调整机械装置1402(图14A)调整台座的高度。该高度通过调整机械装置沿Z轴升高或降低台座904(图14A)而得到调整,直到光线在第一光电二极管传感器前面的孔隙处焦点对准。
然后,在步骤1431,控制器指示平移机械装置使连续波电磁辐射模块和台座相对于彼此平移,而使得下一个光电二极管和辐射线对齐。然后,在步骤1432,照射下一个光电二极管传感器1408(图14A)。在步骤1434,要在该光电二极管传感器处测量的连续波电磁辐射强度受到测量,并被传送给控制器1404(图14A)。然后,在步骤1436,控制器指示调整机械装置1402,通过绕X和Y轴使台座倾斜而按需要调整台座的纵倾和/或侧倾,以保证光线在这个光电二极管传感器处焦点对准。然后,在步骤1438,控制器确定是否完成了设置,即,是否已经从所有光电二极管传感器取得了测量值。如果该方法没有完成(1438——否),那么辐射模块和台座就相对于彼此平移直到下一个光电二极管和辐射线对齐,而在步骤1432照射下一个光电二极管,并且重复上述方法,直到光线在衬底表面上的所有点都对准焦点为止。如果该方法已经完成(1438——是),那么在步骤1440过程结束。
上述过程是可重复的。可替换的是,在调整之前,可以为所有探测器进行在Z方向的完全扫描。通过这种方式,所述系统将会相对于焦平面而知晓加工晶片的平面。此时,三个伺服系统作出适当的调整以使这两个平面一致。
在一优选实施例中,在高度得到调整之后,用左边或右边的光电二极管传感器消除倾斜或侧倾,如果台座是倾斜或侧倾的,则左边或右边光电二极管传感器就会在不同高度落入焦点和离开焦点。一旦消除了倾斜或侧倾,衬底就被移动到首边(leading edge)光电二极管传感器,并且收集另一个穿透焦点(through focus)数据集。当中央光电二极管传感器和首边光电二极管传感器在相同高度具有相同的穿透焦点数据时,纵倾或倾覆被清零(zeroed out)。尾边(triling edge)光电二极管传感器被用于验证台座是真正水平的。
图14D是在孔隙1410(图14A)处的实测能量密度(标准化信号)1454与台座高度的关系曲线图1450,其中零点处于最佳焦点。穿透焦点被标示为1452。如所看到的那样,当光线聚焦于孔隙时,在1456处能量密度最高。图中也示出光斑尺寸,即,能量分布于其上的区域。光斑是激光二极管的图像在焦平面内的区域的图示。为了简化分析,假定透镜为旋转对称的,这就是用点而非线进行分析的原因。然而,在实际使用中,光斑优选是一条长线,具有一定铺展宽度。
因此,聚焦机械装置1400(图14)对所有衬底都确保有良好聚焦。它也允许热制法改变所述线的宽度而不必求助于可移动的光学系统,亦即在衬底表面处的功率密度可通过调整台座高度而独立地得到调整,而不需要由连续波电磁辐射源调整总功率输出。
进一步地,上述的系统、装置或方法中的任何一个可与注入器(implanter)或等离子体掺杂(PLAD)一起使用。而且,上述方法可用于后端(back end)热处理,该处理要求使用在UV或UV附近区域工作的高功率连续波电磁辐射激光源。当这样的后端热处理是铜回流(copper reflow)时,在此情况下,这样的激光源所产生的波长为大多数材料包括铜强烈吸收。
而且,上述装置和方法可用于各向同性地蚀刻和/或灰化(ashing),如从衬底表面蚀刻光刻胶。这样的各向同性蚀刻和/或灰化不要求使用等离子体,因此没有和等离子体损伤相关的任何问题,如那些由热电子引起的问题。
而且,上述装置和方法可用于所有的平板退火。当前的激光再结晶过程使激光光斑扫描穿过平板的表面。再结晶通常在径向上进行,因此速度和过扫描成为关键的过程控制变量。然而,使用本发明,再结晶从宽广连续的正面进行,由于再结晶的自由度降低,导致形成较大晶粒。然而,本发明中,再结晶只能发生在辐射线的前面和后面,使得扫描速度成为一个重要的变量。
再进一步的说,可使用上述装置和方法,超出a-c/Si界面激励,从而改善p-n结漏电流,其中a-c是非晶-晶体界面。本退火方法的一个问题是并非所有在原始a-c界面处的缺陷都能退火消除。这些缺陷对非晶化注入是范围边界(End-of-Range,EOR)缺陷。如果这些缺陷保留在必须保持电压的结中(耗尽区),那么硅的规则阵列假设就不是理想的,而且出现了漏电流。然而,在本发明中,可使热暴露时间长到足以使结移向更深处——越过EOR缺陷。脉冲激光则不适合于这样做,这是由于短脉冲长度远低于1微秒,不会发生扩散。
前面对本发明特定实施例的说明是用于解释和描述本发明的目的。它们不是为了穷举或将本发明限制为所公开的精确形式。显然,借鉴上述讲授,可以做出很多修改和变化。例如,虽然本说明书说明了用一个分束器同时将反射连续波电磁辐射至反射功率探测器912(A)和发射功率探测器912(B),但也可使用多个分束器。实施例的选择和说明是为了最好地解释本发明的原理和其实际应用,因此使得本领域其他技术人员能够利用本发明以及做了适合于所考虑的具体应用的不同修改的不同实施例。进一步的说,在所述方法中,步骤的顺序不必以所给出的顺序执行。本发明的范围由权利要求及其等价分案所限定。而且,上面所引用的任何参考都以参考的方式并入此处。

Claims (17)

1.一种热处理装置,其包括:
一台座,其被配置成用以在其上安放衬底;
一连续波电磁辐射源,其被置于和所述台座相邻,其中所述连续波电磁辐射源被配置成用以沿朝向所述衬底的路径,发射连续波电磁辐射;
一系列透镜,其被置于所述连续波电磁辐射源和所述台座之间,其中所述系列透镜被配置成用以将所述连续波电磁辐射会聚成为所述衬底的一表面上的连续波电磁辐射线,其中所述连续波电磁辐射线的功率密度至少为30kW/cm2;以及
一平移机械装置,其被配置成用于将所述台座和所述连续波电磁辐射线彼此相对平移。
2.如权利要求1所述的热处理装置,进一步包括:
一探测模块,该探测模块位于所述路径内,其中所述探测模块被配置成用以探测连续波电磁辐射,其中所述探测模块包括至少一个发射功率探测器,其被配置成用以探测从所述连续波电磁辐射源发射的连续波电磁辐射;以及至少一个反射功率探测器,其被配置成用以探测从所述表面反射的连续波电磁辐射。
3.如权利要求2所述的热处理装置,其中所述探测模块位于所述系列透镜之间。
4.如权利要求2所述的热处理装置,其进一步包括至少一个分束器,用以取样部分所述的反射的连续波电磁辐射。
5.如权利要求2所述的热处理装置,其进一步包括至少一个分束器,用以取样部分所述的发射的连续波电磁辐射以及所述的反射的连续波电磁辐射。
6.如权利要求2所述的热处理装置,其中所述探测模块进一步包括至少一个温度检测器,其被配置成用以探测在所述连续波电磁辐射线下的所述表面的温度。
7.如权利要求6所述的热处理装置,其中所述温度检测器探测810纳米以外波长的连续波电磁辐射。
8.如权利要求7所述的热处理装置,其进一步包括一滤波器,该滤波器被置于所述温度检测器和所述连续波电磁辐射线之间,其中所述滤波器被配置成只允许810纳米以外波长的连续波电磁辐射到达所述温度检测器。
9.如权利要求1所述的热处理装置,其中所述连续波电磁辐射源包括多个激光二极管。
10.一种用于热处理半导体衬底的方法,其包括:
将连续波电磁辐射聚焦成为连续波电磁辐射线,该连续波电磁辐射线延伸而部分跨过半导体衬底的表面并且具有至少为30kW/cm2的功率密度;
以恒定的预定速度,相对于彼此平移所述连续波电磁辐射线和所述表面;
沿其长度方向稍微移动所述辐射线;
以所述恒定的预定速度,相对于彼此平移所述连续波电磁辐射线和所述表面,使得所述半导体衬底的每个暴露点具有基本均匀的热暴露量。
11.如权利要求10所述的方法,其中所述连续波电磁辐射线不宽于500微米。
12.如权利要求10所述的方法,其包括初始用热增强层涂覆所述表面。
13.如权利要求10所述的方法,其进一步包括将任何散射的连续波电磁辐射反射回所述辐射线。
14.如权利要求10所述的方法,其进一步包括在所述连续波电磁辐射线的焦点处,独立测量来自所述衬底的热辐射,所述连续波电磁辐射线的波长基本不同于被反射的连续波电磁辐射的波长。
15.如权利要求10所述的方法,其进一步包括确定所述表面在所述线处的温度。
16.如权利要求10所述的方法,其进一步包括:
探测从所述连续波电磁辐射源发射的连续波电磁辐射的功率;和
探测从所述衬底反射的连续波电磁辐射的功率。
17.如权利要求10所述的方法,其中连续波电磁辐射是从多个激光二极管发射的。
CNB038119773A 2002-04-18 2003-04-18 热处理装置、热处理半导体衬底的方法 Expired - Lifetime CN100566905C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/126,419 US7005601B2 (en) 2002-04-18 2002-04-18 Thermal flux processing by scanning
US10/126,419 2002-04-18
US10/202,119 2002-07-23
US10/325,497 2002-12-18

Publications (2)

Publication Number Publication Date
CN1723097A CN1723097A (zh) 2006-01-18
CN100566905C true CN100566905C (zh) 2009-12-09

Family

ID=29215028

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038119773A Expired - Lifetime CN100566905C (zh) 2002-04-18 2003-04-18 热处理装置、热处理半导体衬底的方法

Country Status (3)

Country Link
US (2) US7005601B2 (zh)
KR (1) KR101037525B1 (zh)
CN (1) CN100566905C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110520198A (zh) * 2016-11-07 2019-11-29 茵恩泰克公司 用于玻璃化的电磁加热

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
WO2003060447A1 (en) * 2001-12-26 2003-07-24 Vortek Industries Ltd. Temperature measurement and heat-treating methods and systems
US8288239B2 (en) 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR101163682B1 (ko) 2002-12-20 2012-07-09 맷슨 테크날러지 캐나다 인코퍼레이티드 피가공물 지지 장치
US20050189329A1 (en) * 2003-09-02 2005-09-01 Somit Talwar Laser thermal processing with laser diode radiation
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
JP2007507900A (ja) * 2003-10-03 2007-03-29 アプライド マテリアルズ インコーポレイテッド 動的表面アニール処理のための吸収層
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
JP2005294801A (ja) * 2004-03-11 2005-10-20 Advanced Lcd Technologies Development Center Co Ltd レーザー結晶化装置及びレーザー結晶化方法
US7491909B2 (en) * 2004-03-31 2009-02-17 Imra America, Inc. Pulsed laser processing with controlled thermal and physical alterations
US7486705B2 (en) 2004-03-31 2009-02-03 Imra America, Inc. Femtosecond laser processing system with process parameters, controls and feedback
US7885311B2 (en) * 2007-03-27 2011-02-08 Imra America, Inc. Beam stabilized fiber laser
US20060072381A1 (en) * 2004-09-13 2006-04-06 Applied Kinetics, Inc. Apparatuses and methods for laser processing of head suspension components
US7438468B2 (en) * 2004-11-12 2008-10-21 Applied Materials, Inc. Multiple band pass filtering for pyrometry in laser based annealing systems
US7422988B2 (en) * 2004-11-12 2008-09-09 Applied Materials, Inc. Rapid detection of imminent failure in laser thermal processing of a substrate
US7129440B2 (en) * 2004-11-12 2006-10-31 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes
US7910499B2 (en) * 2004-11-12 2011-03-22 Applied Materials, Inc. Autofocus for high power laser diode based annealing system
US7279721B2 (en) 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7279657B2 (en) * 2005-06-13 2007-10-09 Applied Materials, Inc. Scanned rapid thermal processing with feed forward control
US7135392B1 (en) 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US7951412B2 (en) * 2006-06-07 2011-05-31 Medicinelodge Inc. Laser based metal deposition (LBMD) of antimicrobials to implant surfaces
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20080045041A1 (en) * 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Liquid Immersion Laser Spike Anneal
US7674999B2 (en) * 2006-08-23 2010-03-09 Applied Materials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
AU2007299024B2 (en) * 2006-09-18 2012-02-09 Agfa Graphics Nv A device and a process for coating a peripheral surface of a sleeve body
JP5101073B2 (ja) * 2006-10-02 2012-12-19 浜松ホトニクス株式会社 レーザ加工装置
US7659187B2 (en) * 2006-11-03 2010-02-09 Applied Materials, Inc. Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
JP5967859B2 (ja) * 2006-11-15 2016-08-10 マトソン テクノロジー、インコーポレイテッド 熱処理中の被加工物を支持するシステムおよび方法
US7741200B2 (en) 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20090323739A1 (en) * 2006-12-22 2009-12-31 Uv Tech Systems Laser optical system
US20080151951A1 (en) * 2006-12-22 2008-06-26 Elliott David J Laser optical system
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
US8148663B2 (en) 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
CN101815963B (zh) * 2007-10-01 2012-06-27 Lg化学株式会社 利用激光蚀刻来制造玻璃刻板的方法及用于其的激光辐射的装置
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US8674257B2 (en) * 2008-02-11 2014-03-18 Applied Materials, Inc. Automatic focus and emissivity measurements for a substrate system
CN101990481A (zh) * 2008-04-10 2011-03-23 应用材料股份有限公司 激光切割平台
US7947584B2 (en) * 2008-05-02 2011-05-24 Applied Materials, Inc. Suitably short wavelength light for laser annealing of silicon in DSA type systems
KR101610269B1 (ko) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
EP2133171B1 (de) * 2008-06-12 2012-08-08 Trumpf Sachsen GmbH Maschinelle Vorrichtung zum Bearbeiten von Werkstücken mittels eines Laserstrahls
US7838431B2 (en) * 2008-06-14 2010-11-23 Applied Materials, Inc. Method for surface treatment of semiconductor substrates
CN102484041B (zh) * 2009-08-21 2015-09-23 第一太阳能有限公司 高温计
US10029331B2 (en) * 2009-09-14 2018-07-24 Preco, Inc. Multiple laser beam focusing head
TWI543264B (zh) * 2010-03-31 2016-07-21 應用材料股份有限公司 雷射光束定位系統
US8026519B1 (en) 2010-10-22 2011-09-27 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8399808B2 (en) 2010-10-22 2013-03-19 Ultratech, Inc. Systems and methods for forming a time-averaged line image
CN102714160A (zh) * 2011-01-18 2012-10-03 松下电器产业株式会社 薄膜晶体管器件的制造方法、薄膜晶体管以及显示装置
DE102011007541A1 (de) * 2011-04-15 2012-10-18 Von Ardenne Anlagentechnik Gmbh Vorrichtung und Verfahren zur schnellen thermischen Behandlung von Substraten
US8309474B1 (en) 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
US8501638B1 (en) 2012-04-27 2013-08-06 Ultratech, Inc. Laser annealing scanning methods with reduced annealing non-uniformities
SG195515A1 (en) 2012-06-11 2013-12-30 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9558973B2 (en) * 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
ES2609481T3 (es) * 2012-07-04 2017-04-20 Saint-Gobain Glass France Dispositivo y procedimiento para el procesamiento por láser de sustratos de gran superficie utilizando al menos dos puentes
US9490128B2 (en) 2012-08-27 2016-11-08 Ultratech, Inc. Non-melt thin-wafer laser thermal annealing methods
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9499909B2 (en) * 2013-03-15 2016-11-22 Applied Materials, Inc. Methods for photo-excitation of precursors in epitaxial processes using a rotary scanning unit
TWI558489B (zh) 2013-11-27 2016-11-21 財團法人工業技術研究院 應用熱輻射影像的雷射加工系統與其方法
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
JP6193305B2 (ja) 2014-07-29 2017-09-06 ウルトラテック インク 高性能線形成光学システム及び方法
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
CN104819774A (zh) * 2015-04-22 2015-08-05 哈尔滨工业大学 一种基于微透镜阵列的火焰光场探测泛尺度分析方法
CN105043555B (zh) * 2015-09-18 2017-12-12 上海工程技术大学 一种计算光谱发射率和真实温度的方法
US9737971B2 (en) * 2016-01-12 2017-08-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad, polishing layer analyzer and method
GB2556052A (en) * 2016-11-15 2018-05-23 Dev Ltd Additive manufacturing
PL239727B1 (pl) * 2018-01-03 2022-01-03 Genomtec Spolka Akcyjna Zestaw do bezdotykowej kontroli temperatury, sposob generowania frontow falowych promieniowania elektromagnetycznego
KR102418166B1 (ko) * 2018-04-06 2022-07-08 팍시스 엘엘씨 적층 제조 장치, 시스템 및 방법
US11177144B2 (en) * 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
KR20220062064A (ko) 2019-09-13 2022-05-13 포브스 마셜 프라이빗 리미티드 현장 가스 분석 시스템
CN111639464B (zh) * 2020-06-03 2023-06-27 国网重庆市电力公司电力科学研究院 等离子体射流发生器参数优化方法、装置及存储介质
US11222816B2 (en) 2020-06-16 2022-01-11 Applied Materials, Inc. Methods and apparatus for semi-dynamic bottom up reflow
CN111968940A (zh) * 2020-08-18 2020-11-20 上海交通大学 激光退火铜层结构热处理方法及线性整合激光退火装置
RU2769582C1 (ru) * 2021-06-08 2022-04-04 Федеральное государственное бюджетное учреждение науки Институт проблем механики им. А.Ю. Ишлинского Российской академии наук (ИПМех РАН) Способ одновременной калибровки нескольких датчиков теплового потока
RU2765967C1 (ru) * 2021-06-08 2022-02-07 Федеральное государственное бюджетное учреждение науки Институт проблем механики им. А.Ю. Ишлинского Российской академии наук (ИПМех РАН) Способ калибровки датчиков теплового потока вращающимся зеркалом с переменной скоростью
RU2766410C1 (ru) * 2021-06-08 2022-03-15 Федеральное государственное бюджетное учреждение науки Институт проблем механики им. А.Ю. Ишлинского Российской академии наук (ИПМех РАН) Способ лазерной калибровки датчиков теплового потока с имитацией экспериментальной нагрузки
RU2766407C1 (ru) * 2021-06-08 2022-03-15 Федеральное государственное бюджетное учреждение науки Институт проблем механики им. А.Ю. Ишлинского Российской академии наук Способ калибровки датчиков теплового потока вращающимся зеркалом с переменным расстоянием
KR102616101B1 (ko) * 2023-02-03 2023-12-20 주식회사 비에스테크닉스 다기능 레이저 장치

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE498331A (zh) * 1949-09-27
USRE28375E (en) 1971-02-12 1975-03-25 Recording and display method and apparatus
US3720784A (en) 1971-02-12 1973-03-13 Bell Telephone Labor Inc Recording and display method and apparatus
US4151008A (en) 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
ATE8514T1 (de) * 1980-09-18 1984-08-15 L'etat Belge, Represente Par Le Secretaire General Des Services De La Programmation De La Politique Scientifique Verfahren zum kristallisieren von filmen und so erhaltene filme.
US4520472A (en) 1983-02-07 1985-05-28 Rca Corporation Beam expansion and relay optics for laser diode array
US4868005A (en) 1986-04-09 1989-09-19 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
DE9004934U1 (zh) * 1990-04-30 1991-08-29 Rofin-Sinar Laser Gmbh, 2000 Hamburg, De
WO1992002844A1 (en) 1990-08-01 1992-02-20 Diomed Limited High power light source
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
DE4234342C2 (de) * 1992-10-12 1998-05-14 Fraunhofer Ges Forschung Verfahren zur Materialbearbeitung mit Laserstrahlung
JPH06140704A (ja) 1992-10-26 1994-05-20 Mitsubishi Electric Corp レーザ光照射装置
CN1088002A (zh) * 1992-11-16 1994-06-15 东京电子株式会社 制造液晶显示器基板及评价半导体晶体的方法与装置
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
KR100255689B1 (ko) * 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5811326A (en) 1994-01-17 1998-09-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor
US6326248B1 (en) 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
JP3469337B2 (ja) 1994-12-16 2003-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JPH0940499A (ja) 1995-07-28 1997-02-10 Japan Steel Works Ltd:The エキシマレーザーアニール処理装置
JP3136393B2 (ja) 1995-07-28 2001-02-19 株式会社日本製鋼所 レーザーアニール処理装置
US5782980A (en) 1996-05-14 1998-07-21 Advanced Micro Devices, Inc. Low pressure chemical vapor deposition apparatus including a process gas heating subsystem
EP0836905B1 (de) * 1996-10-20 2002-04-10 INPRO Innovationsgesellschaft für fortgeschrittene Produktionssysteme in der Fahrzeugindustrie mbH Verfahren und Anordnung zur temperaturgeregelten Oberflächenbehandlung, insbesondere zum Härten von Werkstückoberflächen mittels Laserstrahlung
US5986234A (en) 1997-03-28 1999-11-16 The Regents Of The University Of California High removal rate laser-based coating removal system
US6280790B1 (en) 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6080965A (en) 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US6365870B1 (en) 1997-10-22 2002-04-02 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for treating work pieces with laser radiation
KR100260766B1 (ko) 1998-02-18 2000-08-01 구본준 레이저 어닐 장비
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
DE19823226A1 (de) * 1998-05-25 1999-12-02 Arcmet Technologie Gmbh Linz Kippbarer Lichtbogenofen
WO2000001628A1 (en) 1998-07-01 2000-01-13 Intevac, Inc. Heating assembly for rapid thermal processing system
US6535535B1 (en) * 1999-02-12 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, laser irradiation apparatus, and semiconductor device
US6393042B1 (en) * 1999-03-08 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradiation apparatus
JP4588153B2 (ja) 1999-03-08 2010-11-24 株式会社半導体エネルギー研究所 レーザー照射装置
US6567219B1 (en) * 1999-08-13 2003-05-20 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US6514339B1 (en) * 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6337467B1 (en) 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
JP4556302B2 (ja) * 2000-07-27 2010-10-06 ソニー株式会社 薄膜トランジスタ製造システム及び方法、ポリシリコン評価方法及びポリシリコン検査装置
US6809012B2 (en) * 2001-01-18 2004-10-26 Semiconductor Energy Laboratory Co., Ltd. Method of making a thin film transistor using laser annealing
EP1354341A1 (en) * 2001-04-19 2003-10-22 The Trustees Of Columbia University In The City Of New York Method for single-scan, continuous motion sequential lateral solidification

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110520198A (zh) * 2016-11-07 2019-11-29 茵恩泰克公司 用于玻璃化的电磁加热
CN110520198B (zh) * 2016-11-07 2021-10-01 茵恩泰克公司 用于玻璃化的电磁加热
US11232879B2 (en) 2016-11-07 2022-01-25 Inentec Inc. Electromagnetic heating for vitrification

Also Published As

Publication number Publication date
US20030196995A1 (en) 2003-10-23
US7005601B2 (en) 2006-02-28
CN1723097A (zh) 2006-01-18
KR101037525B1 (ko) 2011-05-26
US20030196993A1 (en) 2003-10-23
KR20100091234A (ko) 2010-08-18
US7078651B2 (en) 2006-07-18

Similar Documents

Publication Publication Date Title
CN100566905C (zh) 热处理装置、热处理半导体衬底的方法
US9737959B2 (en) Thermal processing by scanning a laser line beam
US20090200279A1 (en) Automatic focus and emissivity measurements for a substrate system
US8692151B2 (en) Laser beam positioning system
TW200818323A (en) Dynamic surface annealing using addressable laser array with pyrometry feedback
US9864276B2 (en) Laser annealing and electric field
US9214368B2 (en) Laser diode array with fiber optic termination for surface treatment of materials
JP5687249B2 (ja) 走査による熱束処理
US20080105825A1 (en) Laser scanning apparatus and method using diffractive optical elements

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20091209