CN100407363C - 在综合处理系统中用于等离子搀杂和离子注入的方法和装置 - Google Patents

在综合处理系统中用于等离子搀杂和离子注入的方法和装置 Download PDF

Info

Publication number
CN100407363C
CN100407363C CN028233220A CN02823322A CN100407363C CN 100407363 C CN100407363 C CN 100407363C CN 028233220 A CN028233220 A CN 028233220A CN 02823322 A CN02823322 A CN 02823322A CN 100407363 C CN100407363 C CN 100407363C
Authority
CN
China
Prior art keywords
plasma
chamber
mixes
wafer
platen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN028233220A
Other languages
English (en)
Other versions
CN1592944A (zh
Inventor
史蒂文·R·沃尔特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN1592944A publication Critical patent/CN1592944A/zh
Application granted granted Critical
Publication of CN100407363C publication Critical patent/CN100407363C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Photovoltaic Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

这些方法和装置是在综合处理系统中为等离子搀杂和离子注入准备的。装置包括处理室、用来产生离子束并且将离子束引进处理室的射束线离子注入组件、包括可进入处理室的等离子搀杂室的等离子搀杂组件和晶片定位器。该定位器在射束线注入模式中将半导体晶片放置在离子束路径中,而在等离子搀杂模式中将半导体晶片放置在等离子搀杂室中。

Description

在综合处理系统中用于等离子搀杂和离子注入的方法和装置
本发明的技术领域
本发明涉及半导体晶片的处理,更具体地说,涉及用来在包括非常低的能量在内的能量范围内用杂质材料给工件搀杂的综合处理系统和方法。
本发明的现有技术
离子注入已经变成用来将改变导电率的杂质引入半导体晶片的标准技术。所需要的杂质材料在离子源中被电离,离子被加速,形成规定能量的离子束,而且离子束对准晶片的表面。射束中的高能离子刺入半导体材料的本体并且嵌进半导体材料的晶格,形成导电率符合要求的区域。
离子注入系统通常包括用来把气体或固体材料转换成定义明确的离子束的离子源。离子束经过质谱分析除去不想要的物种,被加速到预期的能量并且对准靶平面。射束可以通过射束扫描、通过移动靶或通过射束扫描和移动靶的组合分布在靶区上。现有技术的离子注入机的例子是在1981年6月30日授权给Enge的美国专利第4,276,477号;1981年8月11日授权给Turner的美国专利第4,283,631号;1990年2月6日授权给Freytsis等人的美国专利第4,899,059号;1990年5月1日授权给Berrian等人的美国专利第4,922,106号和1994年9月27日授权给White等人的美国专利第5,350,926号中揭示的。
在半导体工业中众所周知的趋势是向比较小的速度比较高的器件发展。具体地说,在半导体器件特征中的横向尺寸和深度两者都逐渐减小。人造半导体器件的状态要求结深度小于1,000埃,而且最终可能要求结深度在200埃或更小的数量级上。
搀杂材料的注入深度至少部份地由注入半导体晶片的离子的能量决定。浅结是用低注入能量获得的。然而,离子注入机通常是为在比较高的注入能量下(例如,在20千电子伏到400千电子伏的范围内)有效地操作而设计的,而且在对于浅结注入必要能量下不可能有效地发挥作用。在低注入能量(例如,2千电子伏以下的能量)下,交付给晶片的电流比需要的小得多而且在某些情况下可能接近零。因此,为了达到规定的剂量,需要极长的注入时间,而且生产能力受到不利的影响。这种在生产能力方面的下降将增加制造成本而且是半导体装置制造商无法接受的。
用来在半导体晶片中形成浅结的等离子搀杂系统已被研究。在一种类型的等离子搀杂系统中,半导体晶片被放在位于等离子搀杂室中作为阴极的导电台板上。包含所需要的搀杂材料的可电离的气体被引入该搀杂室,而且电压脉冲被加在台板和阳极之间,从而引起在晶片附近形成有等离子鞘的赤热放电等离子体。外加的电压脉冲使等离子体中的离子越过等离子鞘注入晶片。注入深度与加在晶片和阳极之间的电压有关。非常低的注入能量能够实现。例如,等离子搀杂系统是在1994年10月11日授权给Sheng的美国专利第5,354,381号;2000年2月1日授权给Liebert等人的美国专利第6,020,592号和2001年2月6日授权给Goeckner等人的美国专利第6,182,604号中描述的。
在其它类型的等离子系统(被称作等离子体浸渍系统)中,连续的射频电压被加在台板和阳极之间,因此产生连续的等离子体。每隔一段时间,将高压脉冲加在台板和阳极之间,从而使等离子体中的阳离子向晶片加速。
人造半导体器件的状态的构成可能需要在从非常低到比较高变动的能量下的许多注入步骤。低能量处理步骤可能需要在射束线离子注入机中长时间的注入或者需要除了射束线离子注入机之外的等离子搀杂系统的费用。因此,需要用来在包括非常低的能量在内的能量范围内将搀杂材料注入工件的改进的处理系统和方法。
本发明的概述
依照本发明的第一方面,提供一种用来处理半导体晶片的装置。该装置包括处理室、用来产生离子束和将离子束引进处理室的射束线离子注入组件、包括从可处理室接近的等离子搀杂室的等离子搀杂组件以及用来在射束线注入模式中将半导体晶片定位在离子来路径中而在等离子搀杂模式中将半导体晶片定位在等离子搀杂室中的晶片定位器。
等离子搀杂室可以位于处理室之内而且可以是能在等离子搀杂位置和缩回位置之间移动的。第一真空泵可以通过第一抽吸口与处理室耦合,而第二真空泵可以通过第二抽吸口与等离子搀杂室耦合。在等离子搀杂模式中,等离子搀杂室可以与处理室隔离。
晶片定位器可以包括用来固定晶片的台板和用来将台板定位的台板定位器。台板可以是可在射束线注入位置、等离子搀杂位置和晶片转移位置之间移动的。等离子搀杂室可以包括与处理室连通的孔口,其中台板是活动的,可与等离子搀杂室上的孔口密封接合。台板可以包括静电晶片夹具。台板定位器可以包括用来在射束线注入模式中相对于离子束机械扫描台板的装置。
所述装置可以进一步包括用来选择射束线注入模式或等离子搀杂模式并且依照选定的模式控制晶片定位器的控制器。该装置可以进一步包括用来将晶片加载到台板上进行处理并且在处理之后将晶片从台板上移开的晶片处理器。
等离子搀杂组件可以包括被定位在等离子搀杂室之内的阳极和耦合在阳极和台板之间的脉冲源。在一个实施方案中,台板被接到参考电位上,而脉冲被脉冲源加到阳极上。在另一个实施方案中,阳极被接到参考电位上,而脉冲被脉冲源加到台板上。
等离子搀杂组件可以进一步包括包围阳极和台板之间的空间的空心电极。在一个实施方案中,空心电极脉冲源与空心电极耦合。在另一个实施方案中,空心电极与阳极电耦合。
所述装置可以进一步包括用来控制阳极和台板之间的间隔的阳极定位器。用来在等离子搀杂位置和缩回位置之间移动等离子搀杂室的舱室定位器可以被提供。
在一个实施方案中,真空泵与处理室耦合。等离子搀杂组件包括在等离子搀杂室的内部体积和处理室之间的受控的流导孔和与等离子搀杂室耦合的处理气体源。等离子搀杂室的内部体积在等离子搀杂模式中是通过受控的流导孔用真空泵抽吸的。在另一个实施方案中,处理气体源和真空泵都被耦合到等离子搀杂室上。等离子搀杂室的内部体积在等离子搀杂模式中是用真空泵抽吸的。
依照本发明的另一方面,提供一种用来处理半导体晶片的方法。该方法包括将半导体晶片定位在处理室中的步骤、在射束线注入模式中借助离子注入在处理室中处理晶片的步骤和在等离子搀杂模式中通过等离子搀杂在处理室中处理晶片的步骤。
借助离子注入处理晶片的步骤可以包括使离子束对准晶片的表面。通过等离子搀杂处理晶片的步骤可以包括在可从处理室接近的等离子搀杂室中处理晶片。将晶片定位的步骤可以包括将晶片安装在位于处理室之中的支撑台板上。该方法可以进一步包括在等离子搀杂位置和缩回位置之间移动等离子搀杂室的步骤。
附图简要说明
为了更好地理解本发明,参照在此通过引证被并入的附图,其中:
图1A是适合实现本发明的射束线离子注入机的示意俯视图;
图1B是图1A的射束线离子注入机的示意俯视图,它展示射束线组成部分;
图2是依照本发明的实施方案按射束线离子注入模式展示的处理系统的示意侧剖图;
图3是按等离子搀杂模式展示的图2的处理系统的示意侧剖图;
图4是图2和图3的处理系统的示意方框图;
图5是用被密封在等离子搀杂室之中的台板展示的等离子搀杂组件的第一实施方案的示意方框图;
图6是用从等离子搀杂室移出的台板展示的等离子搀杂组件的第一实施方案的示意方框图;
图7是用被密封在等离子搀杂室之中的台板展示的等离子搀杂组件的第二实施方案的示意方框图。
优选实施方案的详细描述
适合实现本发明的射束线离子注入机的实施方案的方框图被展示在图1A和1B中。离子源10产生离子并且供应离子束12。如同技术上已知的那样,离子源10可以包括离子室和装有将被电离的气体的气室。将气体供应给离子室,在那里它被电离。这样形成的离子被从离子室提取出来,形成离子束12。离子束12具有被拉长的横截面而且是带状的,射束横截面的长尺寸优选具有水平取向。电源14被接到离子源10的提取电极上并且提供可调的电压,例如,从大约0.2到80千电子伏。因此,来自离子源10的离子被来自电源14的电压加速到大约0.2到80千电子伏的能量。离子源的构造和操作对于熟悉这项技术的人是众所周知的。
离子束12穿过抑制电极20和接地电极22到质谱分析仪30。质谱分析仪30包括分辨磁体32和有分辨孔36的掩蔽电极34。分析磁体32使离子束12中的离子这样偏转,以致所需离子物种的离子通过分辨孔36,而不想要的离子物种不通过分辨孔36而是被掩蔽电极34阻挡。在优选的实施方案中,分辨磁体32使所需物种的离子偏转90°。
所需物种的离子通过分辨孔36到位于质谱分析仪30下游的第一减速级50。减速级50可以包括上游电极52、抑制电极54和下游电极56。离子束中的离子被减速级50减速,然后通过角度校正器磁体60。角度校正器磁体60使离子偏转并且使离子束从发散的离子束转变到具有实质上平行的离子轨道的带状射束62。在优选的实施方案中,角度校正器磁体60使所需物种的离子偏转70°。离子注入机可以包括定位在角度校正器磁体60的下游的第二减速级80。
终端站70(即处理站)在处理室74中这样支撑一个或多个半导体晶片(例如晶片72),以致所需物种的离子被注入半导体晶片。处理室74是用真空容器75密封的。终端站70可以包括被冷却的静电台板76和用来垂直于带状离子束62的长尺寸机械扫描晶片72使离子分布在晶片72的表面上的台板定位器78(图4)。
如图1A所示,终端站70可以包括用来把晶片引入离子注入机并且在注入之后将晶片移开的自动化的晶片处理器82。图1A所示的晶片处理器82包括晶片机械手90和92、晶片定向器94以及装载锁定装置100和102。晶片机械手之一将晶片从装载锁定装置之一中的盒子或其它载体中移出并且将晶片转移到台板76上。晶片可以在晶片定向器94定向。处理之后,晶片借助晶片机械手之一从台板76移开并且返回到它的盒子或其它载体。
终端站70还可以包括剂量测量系统、等离子体读数电子枪或电子读数电子枪和其它已知的组成部分。人们将理解离子束经过的整个路径在离子注入期间是抽真空的。
依照本发明的一个方面,等离子搀杂组件与射束线离子注入组件合并形成综合处理系统。综合处理系统可以用来依据晶片需要的注入处方借助射束线离子注入、等离子搀杂或两者处理晶片。晶片在单一的处理室中可以有通向射束线离子注入组件和等离子搀杂组件的通路。综合处理系统可以包括任何射束线离子注入组件和任何等离子搀杂组件。多种不同的射束线离子注入机体系结构对于熟悉这项技术的人是已知的。各种不同的等离子搀杂体系结构将在下面予以描述。
射束线离子注入组件可以包括射束线离子注入机的全部或一部份。在下面描述的一个实施方案中,等离子搀杂组件将被合并到射束线离子注入机的终端站中。在另一个实施方案中,射束线离子注入机的终端站将用处理室代替。该处理室被接到射束线离子注入组件上而且包含或被接到等离子搀杂组件上。
在图2-4展示的实施方案中,等离子搀杂组件110被合并到处理室74中,如图4所示,等离子搀杂组件110的一个或多个组成部分位于真空容器75之内而等离子搀杂组件110的一个或多个组成部分位于真空容器75之外。等离子搀杂组件110可以包括等离子搀杂室120、处理气体源124、真空泵126、舱室定位器128、被接到位于等离子体处理室120中的阳极上的阳极定位器130和接在台板76和等离子搀杂室120中的阳极之间的脉冲源132。处理气体源124和真空泵126都是通过气体导管接到等离子搀杂室120上的,而舱室定位器128被机械地接到等离子搀杂室120上。等离子搀杂组件110的附加实施方案将在下面予以描述。
射束线离子注入组件140将带状补离子束62供应给处理室74。参照图1A和1B,射束线离子注入组件140的组成部分可以包括离子源10、质谱分析仪30、减速级50、角度校正器磁体60和第二减速级80。射束线离子注入组件140可以使用任何射束线离子注入机体系结构。
综合处理系统的附加组成部分包括真空容器75、台板76、台板定位器78和晶片处理器82。在优选的实施方案中,台板76可以是在诸如于1995年9月19日授权给Frutiger的美国专利第5,452,177号中描述的那种静电晶片夹具。真空泵142控制处理室74内的压力。在图2和3的实施方案中,真空泵142包括低温泵。诸如涡轮分子泵144之类附加的真空泵可以被用来增加真空抽吸能力。法拉第杯148可以这样定位,使之对准带状离子束62,以便进行剂量和均匀性测量。系统控制器150控制综合处理系统的诸要素。系统控制器可以包括编程的通用计算机,例如,包括微处理器、存储器、对综合处理系统的组成部分的接口和诸如键盘和图像显示终端之类的外围设备。
固定晶片72的台板76可以在射束线注入模式中如图2所示的那样定位,以便拦截带状离子束62,或者可以在等离子搀杂模式中如图3所示的那样被定位在等离子搀杂室120中。因此,该系统组成能够射束线离子注入和等离子搀杂的综合处理系统。系统控制器150是根据每个限定的注入参数的输入作出响应,控制操作模式的系统控制器。
参照图2和3,等离子搀杂室120在等离子搀杂模式中定义被封闭体积160。在图3所示的等离子搀杂模式中,台板76被定位在等离子搀杂室120中的孔口158,而且台板晕轮162将台板76密封到等离子搀杂室120之中。因此,台板76将晶片72定位在等离子搀杂室120之内。台板76支撑晶片72而且提供对晶片72的电连接。阳极170被定位在等离子搀杂室120之内,与作为阴极的台板76呈隔开关系。阳极170可以借助阳极定位器130在垂直于台板76的表面的方向上移动(图4)。在台板76和阳极170之间的区域可以如同在此通过引证被并入的2001年2月6日授权给Goeckner等人的美国专利第6,182,604号所描述的那样被空心电极172包围着。装有法拉第射束传感器的屏蔽环174可以如同在此通过引证被并入的2000年2月1日授权给Liebert等人的美国专利第6,020,592号所描述的那样包围着台板76。封闭在等离子搀杂室120之内的体积160可以通过同轴气体管线180接到处理气体源124上(图4)。此外,封闭体积160可以通过节流抽吸口182接到真空泵126上(图4)。等离子搀杂室120优选能借助舱室定位器128(图4)在图3所示的等离子搀杂位置和图2所示的缩回位置之间移动。等离子搀杂室120从等离子搀杂位置向上移动到缩回位置。
台板定位器78(图4)依照处理系统的操作模式将台板76定位。在图2所示的射束线注入模式中,台板76和晶片72在带状离子束62的路径中垂直取向,而且台板76借助台板定位器78被机械地上下扫描,以使带状离子束62分布在晶片72的表面上。台板定位器78可以包括用来使晶片72相对于带状离子束62按预期的角度倾斜的倾斜体190。优选的是台板76在一部分机械扫描期间在带状离子束62下面移动以允许法拉第杯148监测离子束电流。
在等离子搀杂模式中,台板76和晶片72可以水平取向。台板76和晶片72向上移动进入等离子处理室120中的孔口158,而且台板晕轮162将等离子处理室120密封。因此,台板76和晶片72如同图3所示的那样被密封在等离子搀杂室120之中。在等离子搀杂期间,台板76和晶片72可以保持静止。
在晶片交换模式中,台板76和晶片72是水平取向的并且被降低到带状离子束62的路径以下。晶片72被晶片机械手90、92(图1A)之一从台板72上取下,而新的晶片被放在台板76上以便进行处理。晶片操作技术对于熟悉这项技术的人是已知的,在此不被进一步讨论。
在运行中,系统控制器150可以接收规定给一批晶片搀杂的参数的注入处方。例如,这个注入处方可能规定将要施加给晶片的搀杂物种、能量和剂量。系统控制器150可以基于注入处方选择操作模式。例如,高于2千电子伏的能量可以利用射束线注入模式,而低于2千电子伏的能量可以利用等离子搀杂模式。
当射束线注入模式被系统控制器150选中的时候,那批的一个晶片被晶片处理器82装载到台板76上,然后台板76旋转到图2所示的垂直位置。射束线离子注入组件140经过统调提供所需要的注入参数和产生带状离子束62。台板定位器78通常垂直地通过带状离子束62机械扫描台板76和晶片72多次,直到达到预期的剂量和剂量均匀性为止。剂量和剂量均匀性可以用法拉第杯148监测。在射束线注入模式期间,等离子搀杂室120保持在图2所示的缩回位置,以便为机械扫描提供空隙,而且等离子搀杂组件122的各个组成部分是不起作用的。在完成处理之后,晶片72可以被晶片处理器82从处理室74移开。
当等离子搀杂模式被系统控制器150选中的时候,射束线离子注入组件140不起作用,而等离子搀杂室120被舱室定位器128降低到图3所示的等离子搀杂位置。在晶片被晶片处理器82装载到台板76上之后,台板76和晶片72升起进入等离子搀杂室120中的孔口150而且被密封在等离子搀杂室120之中。处理气体源124和真空泵126被激活,以便提供处理气体使等离子搀杂室120内达到预期的压力。脉冲源132被激活,从而使等离子体在台板76和阳极170之间形成并且使离子向晶片72加速。就能量非常低的注入而言,空心电极172可以如同下面描述那样被利用。所施加的剂量可以用屏蔽环174中的法拉第射束感应器监测。当达到预期的剂量的时候,脉冲源132和处理气体源124失去作用,而且真空泵126将等离子搀杂室120抽吸到预期的真空水平。然后,台板76和晶片72下降离开等离子搀杂室120,而且晶片72可以被晶片处理器82移开。当台板76从等离子搀杂室120下降的时候,真空泵142可以抽吸被封装在处理室74中的残留气体。如果需要,晶片72可以在不将晶片72从处理室74移开的情况下进行等离子搀杂和射束线离子注入处理。
人们将理解等离子搀杂室120定义封闭体积160中的处理环境,该处理环境可能非常不同于处理室74之内的处理环境。具体地说,处理室74在射束线离子注入期间优选维持在高真空(例如,20微托)下。在等离子搀杂模式中,等离子搀杂室120内的压力在操作期间可以在大约1毫托到大约500毫托的范围内。诸如BF3、N2、Ar、PH3、AsH3或B2H6之类处理气体可以被使用。
在图2和图3的实施方案中,等离子搀杂室120位于处理室74之内而且能在等离子搀杂位置和缩回位置之间移动。在其它的实施方案中,如果台板定位器78提供足以允许机械扫描和进出等离子搀杂室120的台板行程,等离子搀杂室120可以被固定在某个适当的位置。除此之外,等离子搀杂室120可以部份地或全部位于处理室74的外面,以致能从处理室74进出等离子搀杂室120。例如,可以通过闸式阀从处理室74进出等离子处理室120。
等离子搀杂组件110的第一实施方案的示意方框图被展示在图5和图6中。等离子搀杂组件110的第二实施方案的示意方框图被展示在图7中。在图1-7中相似的要素具有相同的参考数字。在图5-7中,真空容器75和真空泵142被展示出来,因为这些要素被卷入在等离子搀杂组件的操作。综合处理系统的其它组成部分在图5-7中被省略。
在图5中,台板76在等离子搀杂模式中被密封在等离子搀杂室120之中。在图5的配置中,等离子搀杂室120与处理室74隔离,而且可以在等离子搀杂室120和处理室74中保持不同的环境。在图6中,台板76从等离子搀杂室120中的孔口158下降。因此,等离子搀杂室120和处理室74具有共同的环境。这种配置可适用于射束线注入模式和晶片交换模式。
如图5和图6所示,等离子搀杂室120位于真空容器75之内。等离子搀杂室120被接到真空泵126上,而真空容器75被接到真空泵142上。当台板76从等离子搀杂室120中的孔口158下降的时候,真空泵142抽吸处理室74和等离子搀杂室120两者,如图6所示。因此,当台板76被密封在等离子搀杂室120之内的时候,等离子搀杂室120有比较低的压力。等离子搀杂室120被密封之后,等离子搀杂室120是用真空泵126抽吸的。这种安排允许真空泵126具有比较小的抽吸能力,而真空泵142具有足以抽吸真空容器75的比较大的抽吸能力。因此,在图5和图6的实施方案中,真空泵142可以被看作是主真空泵,而真空泵126可以被看作是辅助真空泵。
真空泵142在台板76处于图6所示的降低的位置时将等离子搀杂室120抽真空到预期的压力水平。然后,台板76被密封到等离子搀杂室120之中,如图5所示。处理气体源124将处理气体引入等离子搀杂室120,而且真空泵126提供充份的抽吸作用,以便在等离子搀杂室120里面维持处理气体的预期的压力。因为真空泵126不需要将等离子搀杂室120从大气压抽吸到处理压力,所以将等离子搀杂室120接到真空泵126上的口可以是限流的,而且真空泵126可以具有比较小的能力。处理完成之后,处理气体源124被关闭,于是真空泵126将抽吸来自等离子搀杂室120的剩余的处理气体。然后,台板76下降,于是真空泵142进一步为等离子搀杂室120抽真空。
如同在图5和图6中进一步展示的那样,台板76和等离子搀杂室120的壁可以与参考电位连接,例如接地,而脉冲源132可以将一系列脉冲提供给阳极170。阳极170借助绝缘体176与等离子搀杂室120电绝缘并且借助绝缘体178与真空容器75电绝缘。空心电极172通过开关184被接到脉冲源132或者空心电极脉冲源190上,下面将予以描述。
在阳离子将被注入晶片72的典型情况下,正脉冲被加到阳极170上。在对应于预期的注入能量的电压足以在阳极170和晶片72之间引发等离子放电的情况下,脉冲源132可以被用来引发等离子放电和加速从等离子体进入晶片72的离子。正脉冲使阳离子加速穿越等离子鞘进入晶片72。在阴离子将被注入晶片72的情况下,脉冲源132将负脉冲加到阳极170上。在使用脉冲源132在阳极170和晶片72之间引发等离子放电的场合,空心电极172通过把开关184放在图5和图6所示的位置1接到脉冲源132上。在这种配置中,等离子体实质上被加正偏压的阳极170和空心电极172包围着,晶片72处除外,而且等离子体中带正电荷的离子朝晶片72加速。
在需要非常低的注入能量而且脉冲源132提供的脉冲的相应的幅度不足以在阳极170和晶片72之间引发等离子放电的情况下,开关184被放在位置2,于是空心电极172被接带空心电极脉冲源190上。在图5和图6的实施方案中,当阳离子将被注入晶片72的时候,负脉冲被加到空心电极172上。加到空心电极172上的负脉冲与加到阳极170上的正脉冲结合足以在阳极170和晶片72之间引发等离子放电,而且加到阳极170上的幅度比较小的脉冲实现非常低的注入能量。例如,在具有500电子伏特能量的带单一电荷的阳离子将被注入晶片72的场合,开关184被放在位置2,脉冲源132被编程以产生正500伏的脉冲,而空心电极脉冲源190被编程以产生负1000伏的脉冲。脉冲源132和190是同步的,以便产生及时重叠的脉冲。这导致1500伏的脉冲加在阳极170和空心电极172之间,足以引发等离子体放电。在等离子体放电中的阳离子被加在阳极170和晶片72之间的脉冲加速到500电子伏特。
图5和图6所示的晶片72和等离子76接地的等离子搀杂组件具有一些优势。因为晶片接地,加偏压和剂量测量被简化。晶片76实质上被阳极170和空心电极172包围着,而等离子搀杂室120是通过节流抽吸口连接真空泵126的。所以,室壁和抽真空的零部件的溅射引起的晶片76的污染受到限制。除此之外,收集离子的表面区域受到限制,借此减少放在脉冲源132和190上的负荷。为了进一步减少溅射引起的污染,空心电极172和其它暴露的要素可以被涂上一层非污染材料,例如,在硅晶片的情况下涂上一层硅。节流抽吸口将减少等离子体中的离子进入抽吸口并沉积在抽真空的零部件上的趋势。
等离子搀杂组件110的第二实施方案的示意方框图被展示在图7中。图7的实施方案在接地和对脉冲源132和空心电极脉冲源190的电连接方面不同于图5和图6所示的实施方案。具体地说,阳极170被接到参考电位,例如接地,而阴极(台板76)就阳离子的注入而言是加负脉冲。空心电极170借助开关184依据需要注入的能量被接到台板76上或空心电极脉冲源190上。在图7的实施方案中,台板晕轮162是电隔绝材料,以便为台板76和等离子搀杂室120之间的电绝缘创造条件。
图7的实施方案在抽真空安排方面也不同于图5和图6所示的实施方案。具体地说,等离子搀杂室120备有受控的流导孔194,而真空泵126(图5和图6)被取消。受控的流导孔194提供在等离子搀杂室120和处理室74的内部体积之间的受控的气流。因此,当台板76被密封进等离子搀杂室120的时候,等离子搀杂室120是借助受控的气流通过通向真空泵142的孔194抽真空的。受控的流导孔194可以包括一个或多个具有已知的气流特性的孔口。在一个实施方案中,孔194的孔口避免在等离子搀杂室120的内部体积和处理室74之间的直视,以便在抑制等离子体通过的同时允许气体流动。例如,孔194可以作为有弯曲的气体导管被实现。在另一个实施方案中,孔194可以是固定的,可以是打开的或关闭的,或者可以有可调的气体流导。人们将理解图7所示的抽真空安排可以被用在图5和图6的实施方案中。进而,图5和图6的抽真空安排可以被用在图7的实施方案中。
图5-7展示的并在前面描述过的等离子搀杂系统可以在图2-4展示的并在前面描述过的综合处理系统中被利用。除此之外,图5-7的实施方案可以被分开利用或在任何有外部真空容器为上述的等离子搀杂室提供真空抽吸的处理系统中被利用。外部的真空容器可能包括也可能不包括另一个处理组件。
其它的等离子搀杂体系结构可以在本发明的范围内被利用。例如,等离子体可以是脉动的或连续的。等离子可以是由直流电压、射频电压或微波电压产生的,每种电压都可以是脉动的或连续的。不同的处理气体压力可以被利用。
人们应该理解在本说明书中描述的和在附图中展示的实施方案的各种不同的变化和修正可以在本发明的精神和范围内完成。因此,我们倾向于在举例说明的意义上而不是在限制的意义上解释在前面的描述中包含的和在附图中展示的全部内容。本发明仅仅受到如同权利要求书及其等价文件所定义的那样的限制。

Claims (25)

1.一种处理半导体晶片的装置,包括:
处理室;
射束线离子注入组件,用来产生离子束并且将离子束引进所述的处理室;
等离子搀杂组件,其包括可进入所述的处理室的等离子搀杂室;以及
晶片定位器,用来在射束线注入模式中将半导体晶片放置在所述的离子束的路径中而在等离子搀杂模式中将半导体晶片放置在所述的等离子搀杂室中。
2.根据权利要求1的装置,其中所述的等离子搀杂室位于所述的处理室之内。
3.根据权利要求1的装置,其中所述的等离子搀杂室可在等离子搀杂位置和缩回位置之间移动。
4.根据权利要求1的装置,进一步包括通过第一抽吸口与所述的处理室耦合的第一真空泵,所述的等离子搀杂组件进一步包括通过第二抽吸口与所述的等离子搀杂室耦合的第二真空泵。
5.根据权利要求1的装置,其中所述的晶片定位器包括用来固定所述晶片的台板和用来将所述台板定位的台板定位器。
6.根据权利要求5的装置,其中所述的台板可在射束线注入位置、等离子搀杂位置和晶片转移位置之间移动。
7.根据权利要求5的装置,其中所述的等离子搀杂室包括与所述的处理室连通的孔口,而且所述的台板是活动的,可与所述的等离子搀杂室上的孔口密封接合。
8.根据权利要求5的装置,其中所述的台板包括静电晶片夹具。
9.根据权利要求5的装置,其中所述的台板定位器包括用来在射束线注入模式中相对于所述的离子束机械扫描所述的台板的装置。
10.根据权利要求1的装置,其中所述的等离子搀杂室在等离子搀杂模式中可与所述的处理室密封地隔开。
11.根据权利要求1的装置,进一步包括用来选择射束线注入模式或等离子搀杂模式的控制器,和根据选定的模式来控制晶片定位器的控制器。
12.根据权利要求5的装置,进一步包括晶片处理器,用来将晶片加载到所述的台板上进行处理并且在处理之后将晶片从所述的台板上移开。
13.根据权利要求5的装置,其中所述的等离子搀杂组件进一步包括位于等离子搀杂室之内的阳极和接在所述的阳极和所述的台板之间的脉冲源。
14.根据权利要求13的装置,其中所述的台板被接到参考电位上,而且脉冲被所述的脉冲源加到所述的阳极上。
15.根据权利要求13的装置,其中所述的阳极被接到参考电位上,而且脉冲被所述的脉冲源加到所述的台板上.
16.根据权利要求13的装置,其中所述的等离子搀杂组件进一步包括包围在所述阳极和所述台板之间的空间的空心电极和与所述的空心电极耦合的空心电极脉冲源。
17.根据权利要求13的装置,其中所述的等离子搀杂组件进一步包括包围在所述阳极和所述台板之间的空阀的空心电极,而且所述的空心电极与所述的阳极电耦合。
18.根据权利要求13的装置,进一步包括用来控制所述的阳极和所述的台板之间的间隔的阳极定位器。
19.根据权利要求13的装置,进一步包括用来在等离子搀杂位置和缩回位置之间移动所述的等离子搀杂室的舱室定位器。
20.根据权利要求1的装置,进一步包括与所述的处理室耦合的真空泵,所述的等离子搀杂组件进一步包括在所述的等离子搀杂室的内部体积和所述的处理室之间的受控的流导孔和与所述的等离子搀杂室耦合的处理气体源,其中所述的等离子搀杂室的内部体积在等离子搀杂模式中是通过所述的受控的流导孔用所述的真空泵抽吸的。
21.根据权利要求1的装置,其中所述的等离子搀杂组件进一步包括处理气体源和真空泵,所述处理气体源和真空泵都与所述的等离子搀杂室耦合,所述的等离子搀杂室的内部体积室在等离子搀杂模式中是用所述的真空泵抽吸的。
22.一种用来处理半导体晶片的方法,该方法包括下述步骤:
将半导体晶片在处理室中定位;
在射束线注入模式中借助离子注入在所述的处理室中处理晶片;以及
在等离子搀杂模式中通过等离子搀杂在所述的处理室中处理晶片,包括在等离子搀杂室中处理晶片,所述等离子搀杂室定位于处理室中或能从处理室进出。
23.根据权利要求22的方法,其中借助离子注入处理晶片的步骤包括使离子束对准晶片的表面。
24.根据权利要求22的方法,其中将晶片定位的步骤包括将晶片安装在位于所述的处理室之中的支撑台板上。
25.根据权利要求22的方法,进一步包括在等离子搀杂位置和缩回位置之阀移动所述的等离子搀杂室的步骤。
CN028233220A 2001-10-26 2002-10-17 在综合处理系统中用于等离子搀杂和离子注入的方法和装置 Expired - Fee Related CN100407363C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/007,530 2001-10-26
US10/007,530 US6716727B2 (en) 2001-10-26 2001-10-26 Methods and apparatus for plasma doping and ion implantation in an integrated processing system

Publications (2)

Publication Number Publication Date
CN1592944A CN1592944A (zh) 2005-03-09
CN100407363C true CN100407363C (zh) 2008-07-30

Family

ID=21726739

Family Applications (1)

Application Number Title Priority Date Filing Date
CN028233220A Expired - Fee Related CN100407363C (zh) 2001-10-26 2002-10-17 在综合处理系统中用于等离子搀杂和离子注入的方法和装置

Country Status (10)

Country Link
US (1) US6716727B2 (zh)
EP (1) EP1438734B1 (zh)
JP (1) JP4587364B2 (zh)
KR (1) KR100876049B1 (zh)
CN (1) CN100407363C (zh)
AT (1) ATE445226T1 (zh)
DE (1) DE60233956D1 (zh)
ES (1) ES2333782T3 (zh)
TW (1) TW582061B (zh)
WO (1) WO2003038879A2 (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030116089A1 (en) * 2001-12-04 2003-06-26 Walther Steven R. Plasma implantation system and method with target movement
US6762423B2 (en) * 2002-11-05 2004-07-13 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for ion beam neutralization in magnets
KR100475122B1 (ko) * 2002-12-20 2005-03-10 삼성전자주식회사 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법
US7126808B2 (en) * 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
JP4843252B2 (ja) * 2005-05-18 2011-12-21 株式会社アルバック 表面処理装置及び表面処理方法
KR101121419B1 (ko) * 2005-08-30 2012-03-15 주성엔지니어링(주) 기판제조장치 및 이에 이용되는 진공펌핑방법과 벤팅방법
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US20080121821A1 (en) * 2006-11-27 2008-05-29 Varian Semiconductor Equipment Associates Inc. Techniques for low-temperature ion implantation
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
KR100855002B1 (ko) * 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
US8071964B2 (en) * 2008-05-01 2011-12-06 Axcelis Technologies, Inc. System and method of performing uniform dose implantation under adverse conditions
US20100155600A1 (en) * 2008-12-23 2010-06-24 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for plasma dose measurement
US20120060353A1 (en) * 2010-09-14 2012-03-15 Varian Semiconductor Equipment Associates, Inc. Mechanism and method for ensuring alignment of a workpiece to a mask
US8361856B2 (en) 2010-11-01 2013-01-29 Micron Technology, Inc. Memory cells, arrays of memory cells, and methods of forming memory cells
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US8450175B2 (en) 2011-02-22 2013-05-28 Micron Technology, Inc. Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith
US8569831B2 (en) 2011-05-27 2013-10-29 Micron Technology, Inc. Integrated circuit arrays and semiconductor constructions
US20120315734A1 (en) * 2011-06-09 2012-12-13 Chan-Lon Yang Method for fabricating semiconductor device
FR2981193B1 (fr) * 2011-10-06 2014-05-23 Ion Beam Services Procede de commande d'un implanteur ionique en mode immersion plasma.
CN103137413B (zh) * 2011-11-30 2016-06-01 中国科学院微电子研究所 离子注入机控制系统
US9036391B2 (en) 2012-03-06 2015-05-19 Micron Technology, Inc. Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells
US9006060B2 (en) 2012-08-21 2015-04-14 Micron Technology, Inc. N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors
US9129896B2 (en) 2012-08-21 2015-09-08 Micron Technology, Inc. Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors
US9478550B2 (en) 2012-08-27 2016-10-25 Micron Technology, Inc. Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors
JP6143440B2 (ja) * 2012-11-22 2017-06-07 住重試験検査株式会社 半導体装置の製造方法及び基板処理システム
US9111853B2 (en) 2013-03-15 2015-08-18 Micron Technology, Inc. Methods of forming doped elements of semiconductor device structures
US9190248B2 (en) * 2013-09-07 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Dynamic electrode plasma system
US9287085B2 (en) * 2014-05-12 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Processing apparatus and method of treating a substrate
US9899193B1 (en) * 2016-11-02 2018-02-20 Varian Semiconductor Equipment Associates, Inc. RF ion source with dynamic volume control
US11069511B2 (en) 2018-06-22 2021-07-20 Varian Semiconductor Equipment Associates, Inc. System and methods using an inline surface engineering source
US10699871B2 (en) 2018-11-09 2020-06-30 Applied Materials, Inc. System and method for spatially resolved optical metrology of an ion beam
US11728187B2 (en) * 2018-12-21 2023-08-15 Axcelis Technologies, Inc. Method for decreasing cool down time with heated system for semiconductor manufacturing equipment
TWI838493B (zh) * 2019-03-25 2024-04-11 日商亞多納富有限公司 氣體分析裝置
US20200411342A1 (en) * 2019-06-27 2020-12-31 Applied Materials, Inc. Beamline architecture with integrated plasma processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
CN1233668A (zh) * 1998-03-11 1999-11-03 易通公司 用发射光谱法对等离子体成分的监控
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3908183A (en) 1973-03-14 1975-09-23 California Linear Circuits Inc Combined ion implantation and kinetic transport deposition process
US4276477A (en) 1979-09-17 1981-06-30 Varian Associates, Inc. Focusing apparatus for uniform application of charged particle beam
US4283631A (en) 1980-02-22 1981-08-11 Varian Associates, Inc. Bean scanning and method of use for ion implantation
US4922106A (en) 1986-04-09 1990-05-01 Varian Associates, Inc. Ion beam scanning method and apparatus
US4899059A (en) 1988-05-18 1990-02-06 Varian Associates, Inc. Disk scanning apparatus for batch ion implanters
JP2783410B2 (ja) * 1988-11-07 1998-08-06 株式会社日立製作所 半導体装置の製造方法および製造装置
US5452177A (en) 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
US5350926A (en) 1993-03-11 1994-09-27 Diamond Semiconductor Group, Inc. Compact high current broad beam ion implanter
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
JP3080867B2 (ja) * 1995-09-25 2000-08-28 日本電気株式会社 Soi基板の製造方法
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JP3413704B2 (ja) * 1996-05-17 2003-06-09 ソニー株式会社 薄膜半導体装置の製造方法
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
JP3239779B2 (ja) * 1996-10-29 2001-12-17 日新電機株式会社 基板処理装置および基板処理方法
US5907158A (en) 1997-05-14 1999-05-25 Ebara Corporation Broad range ion implanter
US6111260A (en) 1997-06-10 2000-08-29 Advanced Micro Devices, Inc. Method and apparatus for in situ anneal during ion implant
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6221169B1 (en) * 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6545419B2 (en) * 2001-03-07 2003-04-08 Advanced Technology Materials, Inc. Double chamber ion implantation system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
CN1233668A (zh) * 1998-03-11 1999-11-03 易通公司 用发射光谱法对等离子体成分的监控

Also Published As

Publication number Publication date
EP1438734A2 (en) 2004-07-21
US20030082891A1 (en) 2003-05-01
DE60233956D1 (de) 2009-11-19
ES2333782T3 (es) 2010-03-01
EP1438734B1 (en) 2009-10-07
WO2003038879A2 (en) 2003-05-08
WO2003038879A3 (en) 2003-12-11
JP4587364B2 (ja) 2010-11-24
KR20040054745A (ko) 2004-06-25
US6716727B2 (en) 2004-04-06
TW582061B (en) 2004-04-01
JP2005508088A (ja) 2005-03-24
CN1592944A (zh) 2005-03-09
ATE445226T1 (de) 2009-10-15
KR100876049B1 (ko) 2008-12-26

Similar Documents

Publication Publication Date Title
CN100407363C (zh) 在综合处理系统中用于等离子搀杂和离子注入的方法和装置
CN101563750B (zh) 改善离子束传送的技术
KR101130411B1 (ko) 가속/감속 갭 편향
Rubin et al. Ion implantation in silicon technology
KR100883237B1 (ko) 이온 주입기용 조절 가능한 컨덕턴스 제한 개구
KR20020027526A (ko) 이온 주입 설비 및 방법
US8257501B2 (en) Plasma doping device with gate shutter
CN102751159A (zh) 等离子体处理设备
KR20010062546A (ko) 플라즈마 침지 이온주입을 위한 전처리 방법
JP2011523764A (ja) 水素化ホウ素を半導体ウェハに注入する場合の該半導体ウェハにおける粒子の制御
CN113097048B (zh) 离子源、等离子体室以及调整等离子体的体积的方法
CN102449731A (zh) 具有非平面基底表面的基底处理方法
US20090233427A1 (en) Plasma doping method
KR100835355B1 (ko) 플라즈마를 이용한 이온주입장치
EP0095369B1 (en) Air lock vacuum pumping methods and apparatus
JPH10294307A (ja) プラズマ処理装置
EP1438735B1 (en) Methods and apparatus for plasma doping by anode pulsing
US6504159B1 (en) SOI plasma source ion implantation
KR20050025931A (ko) 플라즈마 처리방법 및 장치
CN106531605B (zh) 一种离子注入设备及系统
KR20020019596A (ko) 기판의 표면을 가로질러 주입량의 균일성을 제공하기 위한장치 및 방법
KR20100121982A (ko) 플라즈마를 이용한 도핑 방법 및 도핑 장치
JPH0325846A (ja) イオンビーム照射装置における電荷中和装置
US20010037939A1 (en) Impurity introducing apparatus and method
KR100216269B1 (ko) 저 에너지 이온 주입장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080730

Termination date: 20131017