JP4587364B2 - 統合処理システムにおけるプラズマドーピング及びイオン注入のための方法及び装置 - Google Patents

統合処理システムにおけるプラズマドーピング及びイオン注入のための方法及び装置 Download PDF

Info

Publication number
JP4587364B2
JP4587364B2 JP2003541037A JP2003541037A JP4587364B2 JP 4587364 B2 JP4587364 B2 JP 4587364B2 JP 2003541037 A JP2003541037 A JP 2003541037A JP 2003541037 A JP2003541037 A JP 2003541037A JP 4587364 B2 JP4587364 B2 JP 4587364B2
Authority
JP
Japan
Prior art keywords
plasma doping
chamber
wafer
platen
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003541037A
Other languages
English (en)
Other versions
JP2005508088A (ja
Inventor
ワルター、スティーブン・アール
Original Assignee
バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド filed Critical バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Publication of JP2005508088A publication Critical patent/JP2005508088A/ja
Application granted granted Critical
Publication of JP4587364B2 publication Critical patent/JP4587364B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Photovoltaic Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は半導体ウエハの処理に関し、特に、非常に低エネルギーを含むエネルギー範囲にわたって不純物材料を被処理体にドープするための方法及び統合処理システムに関する。
イオン注入は導電率を変える不純物を半導体ウエハ中に導入するための標準的技術になった。所望の不純物材料はイオンソース内でイオン化され、該イオンは所定のエネルギーのイオンビームを形成するべく加速され、該イオンビームはウエハの表面に向けられる。ビーム中の高エネルギーイオンは半導体材料のバルク内に貫入し、所望の導電率領域を形成するべく半導体材料の結晶格子内に埋め込まれる。
イオン注入システムはしばしば、ガスまたは固体材料を良好に画成されたイオンビームに変換するためのイオンソースを含む。イオンビームは不所望の種を除去するために質量分析され、所望のエネルギーに加速され、かつターゲット面に向けられる。ビームは、ビーム走査、ターゲット移動、またはビーム走査及びターゲット移動の組合せによってターゲット領域にわたって分配される。イオン注入装置の従来例は、Engeによる1981年6月30日発行の米国特許第4,276,477号、Turnerによる1981年8月11日発行の米国特許第4,283,631号、Freytsisらによる1990年2月6日発行の米国特許第4,899,059号、Berrianらによる1990年5月1日発行の米国特許第4,922,106号、及びWhiteらによる1994年9月27日発行の米国特許第5,350,926号に開示されている。
米国特許第4,276,477号
半導体産業における周知のトレンドは、より小さく、より高速のデバイスである。特に、半導体デバイスの横方向寸法及び深さの両方が減少している。半導体デバイスの水準は、1000オングストローム以下の接合深さを要求しており、ついには200オングストロームまたはそれ以下のオーダーの接合深さを要求するであろう。
ドーパント材料の注入深さは少なくとも部分的には、半導体ウエハ中に注入されるイオンのエネルギーによって決定される。浅い接合は低注入エネルギーによって得られる。しかし、典型的にイオン注入装置は、例えば20keVから400keVの範囲の比較的高い注入エネルギーで有効に動作するように設計されており、浅い接合注入に必要なエネルギーでは有効に機能しない。2keV及びそれ以下のエネルギーのような低注入エネルギーにおいて、ウエハに伝達される電流は所望のものより非常に低く、ある場合にはゼロに近い。結果として、特定のドーズ量を達成するのに極端に長い注入時間を要し、スループットが低下する。スループットの低下は製造コストを増加させ、半導体デバイス製造者には受けいれられない。
プラズマドーピングシステムは半導体ウエハ内に浅い接合を形成するために研究された。あるタイプのプラズマドーピングシステムにおいて、半導体ウエハはプラズマドーピングチャンバ内に配置されたカソードとして機能する導電プラテン上に配置される。所望のドーパント材料を含むイオン化ガスがチャンバ内に導入され、電圧パルスがプラテンと陽極との間に印加され、ウエハ付近にプラズマシースを有するグロー放電プラズマが形成される。印加された電圧パルスによりプラズマ中のイオンはプラズマシースを横切り、ウエハ内に注入される。注入の深さはウエハと陽極との間に印加される電圧に関連する。非常に低い注入エネルギーが達成され得る。プラズマドーピングシステムは例えば、Shengによる1994年10月11日発行の米国特許第5,354,381号、Liebertらによる2000年2月1日発行の米国特許第6,020,592号、及びGoecknerらによる2001年2月6日発行の米国特許第6,182,604号に記載されている。
米国特許第5,354,381号
プラズマ浸漬システムとして知られる他のタイプのプラズマシステムにおいて、RF電圧がプラテンと陽極との間に印加され、連続プラズマが生成される。間隔をおいて、高電圧パルスがプラテンと陽極との間に印加され、プラズマ中の正イオンがウエハ方向へ加速される。
現水準の半導体デバイスの製造は非常に低いところから比較的高い範囲のエネルギーにおいて、多くの注入工程を要求する。低エネルギー処理工程は、ビームラインイオン注入装置における長時間注入またはビームラインイオン注入装置に付加するプラズマドーピングシステムの費用を要求する。したがって、非常に低いエネルギーを含むエネルギー範囲にわたって被処理体内にドーパント材料を注入するための方法及び改良された処理システムが必要である。
本発明の第1の態様に従い、半導体ウエハを処理するための装置が与えられる。当該装置は、処理チャンバ、イオンビームを生成しかつイオンビームを処理チャンバへ向けるためのビームラインイオン注入モジュール、処理チャンバからアクセス可能なプラズマドーピングチャンバを含むプラズマドーピングモジュール、及びビームラインイオン注入モジュール内のイオンビームの経路に半導体ウエハを配置しかつプラズマドーピングモードでプラズマドーピングチャンバ内に半導体ウエハを配置するためのウエハポジショナーから成る。
プラズマドーピングチャンバは処理チャンバ内に配置され、プラズマドーピング位置と引っ込み位置との間で移動可能である。第1真空ポンプが第1排気ポートを通じて処理チャンバに結合され、第2真空ポンプが第2排気ポートを通じてプラズマドーピングチャンバに結合される。プラズマドーピングチャンバはプラズマドーピングモードにおいて処理チャンバから分離される。
ウエハポジショナーは、ウエハを保持するためのプラテンと、該プラテンを配置するためのプラテンポジショナーから成る。プラテンはビームライン注入位置、プラズマドーピング位置及びウエハ移送位置の間を移動可能である。プラズマドーピングチャンバは処理チャンバと連通する開口を含み、プラテンはプラズマドーピングチャンバの開口とシール係合するよう移動可能である。プラテンは静電ウエハクランプから成る。プラテンポジショナーはビームライン注入モードでイオンビームに関してプラテンを機械的に走査するための手段から成る。
装置はさらに、ビームライン注入モードまたはプラズマドーピングモードを選択するための及び選択されたモードに従いウエハポジショナーを制御するための制御器から成る。装置はさらに、処理のためにプラテン上にウエハをロードするための及び処理後にプラテンからウエハを除去するためのウエハホルダから成る。
プラズマドーピングモジュールはプラズマドーピングチャンバ内に配置された陽極及び該陽極とプラテンとの間に接続されたパルスソースを含む。ひとつの実施例において、プラテンが基準ポテンシャルに接続され、パルスがパルスソースによって陽極に印加される。他の実施例において、陽極が基準ポテンシャルに接続され、パルスがパルスソースによってプラテンに印加される。
プラズマドーピングモジュールはさらに、陽極とプラテンとの間の空間を包囲する中空電極を含む。ひとつの実施例において、中空電極パルスソースが中空電極に接続される。他の実施例において、中空電極が陽極に電気的に接続される。
装置はさらに、陽極とプラテンとの間の間隔を制御するための陽極ポジショナーから成る。チャンバポジショナーは、プラズマドーピング位置と引っ込み位置との間でプラズマドーピングチャンバを移動するために与えられる。
ひとつの実施例において、真空ポンプが処理チャンバに結合される。プラズマドーピングモジュールは、プラズマドーピングチャンバの内部体積と処理チャンバとの間の制御されたコンダクタンスアパーチャ、及びプラズマドーピングチャンバへ結合された処理ガスソースを含む。プラズマドーピングチャンバの内部体積はプラズマドーピングモードで制御されたコンダクタンスアパーチャを通じて真空ポンプによって排気される。他の実施例において、処理ガスソース及び真空ポンプがプラズマドーピングチャンバへ結合される。プラズマドーピングチャンバの内部体積はプラズマドーピングモードで真空ポンプによって排気される。
本発明の他の態様に従い、半導体ウエハを処理するための方法が与えられる。当該方法は、半導体ウエハを処理チャンバ内に配置する工程と、ビームライン注入モードでイオン注入により処理チャンバ内のウエハを処理する工程と、プラズマドーピングモードでプラズマドーピングすることによって処理チャンバ内のウエハを処理する工程とから成る。
イオン注入によりウエハを処理する工程は、ウエハの表面にイオンビームを向ける工程から成る。プラズマドーピングによってウエハを処理する工程は処理チャンバからアクセス可能なプラズマドーピングチャンバ内でウエハを処理する工程から成る。ウエハを配置する工程は処理チャンバ内に配置された支持プラテン上にウエハを載置する工程から成る。方法はさらにプラズマドーピング位置と引っ込み位置との間でプラズマドーピングチャンバを移動する工程から成る。
本発明の実施に適したビームラインイオン注入装置の実施例のブロック図が図1A及び1Bに示されている。イオンソース10はイオンを生成し、イオンビーム12を供給する。従来技術で周知のように、イオンソース10はイオンチャンバ及びイオン化されるガスを含むガスボックスを含む。ガスはそれがイオン化されるところのイオンチャンバへ供給される。そうして形成されたイオンはイオンチャンバから抽出されイオンビーム12を形成する。イオンビーム12は、ビーム断面の長い方が好適に水平方向を有するように伸長した断面を有しかつリボン形状である。電源14はイオンソース10の抽出電極に結合され、例えば約0.2から80keVに調節可能な電圧を与える。こうして、イオンソース10からのイオンは電源14からの電圧によって約0.2から80keVのエネルギーに加速される。イオンソースの構成及び動作は当業者に周知である。
イオンビーム12は抑制電極20及び接地電極22を通過して質量分析器30へ至る。質量分析器30は、分解磁石32、及び分解絞り36を有するマスク電極34を含む。分解磁石32は所望のイオン種のイオンが分解絞り36を通過するようにイオンビーム12内のイオンを偏向させ、その結果不所望のイオン種は分解絞り36を通過せずマスク電極34によってブロックされる。好適実施例において、分解磁石32は所望のイオン種を90°だけ偏向させる。
所望の種のイオンは分解絞り36を通過して質量分析器30の下流に配置された第1減速ステージ50へ達する。減速ステージ50は上流電極52、抑制電極54及び下流電極56を含む。イオンビーム内のイオンは減速ステージ50によって減速され、その後アングルコネクタ磁石60を通過する。アングルコネクタ磁石60はイオンを偏向し、イオンビームを発散するイオンビームから実質的に平行な軌道を有するリボン形ビーム62へ変換する。好適実施例において、アングルコネクタ磁石60は所望のイオン種を70°だけ偏向する。イオン注入装置はアングルコネクタ磁石60の下流側に配置された第2減速ステージ80を含む。
エンドステーション70または処理ステーションは、所望のイオン種が半導体ウエハに注入されるように、処理チャンバ74内で一枚またはそれ以上の半導体ウエハ72を支持する。処理チャンバ74は真空ベッセル75によって包囲されている。エンドステーション70は冷却された静電プラテン76、及びウエハ72の表面にわたってイオンを分配するようにリボン形イオンビーム62の長さ方向に垂直にウエハ72を機械的に走査するためのプラテンポジショナー78(図4)を含む。
図1Aに示されるようなエンドステーション70は、ウエハをイオン注入装置内に導入し、注入後にウエハを除去するための自動ウエハハンドラー82を含む。図1Aに示されたウエハハンドラー82は、ウエハロボット90、92、ウエハオリエンター94及びロードロック100、102を含む。ウエハロボットのひとつは、ロードロックのひとつ内にあるカセットまたはウエハキャリアからウエハを取り出し、該ウエハをプラテン76へ移送する。ウエハはウエハオリエンター94で方向付けされる。処理の後、ウエハはプラテンから除去され、ひとつのウエハロボットによってカセットまたはウエハキャリアへ戻される。
エンドステーション70はまた、ドーズ量測定システム、プラズマ充満銃または電子充満銃及び他の周知のコンポーネントを含む。イオンビームが通過する全経路はイオン注入中に真空排気されることが理解されるであろう。
本発明の態様に従い、プラズマドーピングモジュールは統合処理システムを形成するべくビームラインイオン注入モジュールと組み合わされる。統合処理システムは、ウエハに要求される注入レシピに依存して、ビームラインイオン注入により、プラズマドーピングにより、またはその両方により、ウエハを処理するのに使用される。単一処理チャンバ内のウエハはビームラインイオン注入モジュール及びプラズマドーピングモジュールへのアクセスを有する。統合処理システムはあらゆるビームラインイオン注入モジュール及びあらゆるプラズマドーピングモジュールを含む。さまざまな異なるビームラインイオン注入装置アーキテクチャーが当業者に周知である。さまざまなプラズマドーピングアーキテクチャーが以下に説明される。
ビームラインイオン注入モジュールはビームラインイオン注入装置のすべてまたは一部を含む。以下で説明されるひとつの実施例において、プラズマドーピングモジュールはビームラインイオン注入装置のエンドステーション内に組み込まれる。他の実施例において、ビームラインイオン注入装置のエンドステーションは処理チャンバと置換される。処理チャンバはビームラインイオン注入モジュールに結合されかつプラズマドーピングを含むかまたはそれに結合される。
図2から4に示された実施例において、プラズマドーピングモジュール110は、図4に示されるように、プラズマドーピングモジュール110のひとつまたはそれ以上のコンポーネントが真空ベッセル75の内側に配置されかつプラズマドーピングモジュール110のひとつまたはそれ以上のコンポーネントが真空ベッセル75の外側に配置されるようにして、処理チャンバ74内に組み込まれる。プラズマドーピングモジュール110は、プラズマドーピングチャンバ120、処理ガスソース124、真空ポンプ126、チャンバポジショナー128、プラズマ処理チャンバ120内に配置された陽極に接続された陽極ポジショナー130、及びプラテン76とプラズマドーピングチャンバ120内の陽極との間に接続されたパルスソース132を含む。処理ガスソース124及び真空ポンプ126はガス導管によってプラズマドーピングチャンバ120へ結合され、チャンバポジショナー128はプラズマドーピングチャンバ120へ機械的に結合される。プラズマドーピングモジュール110の付加的実施例が以下に説明される。
ビームラインイオン注入モジュール140は処理チャンバ74に対しリボン形イオンビーム62を供給する。図1A及び1Bを参照して、ビームラインイオン注入モジュール140のコンポーネントは、イオンソース10、質量分析器30、減速ステージ50、アングルコネクタ磁石60及び第2減速ステージ80を含む。ビームラインイオン注入モジュール140は、あらゆるビームラインイオン注入装置アーキテクチャーを採用する。
統合処理システムの付加的コンポーネントは、真空ベッセル75、プラテン76、プラテンポジショナー78及びウエハハンドラー82を含む。好適実施例において、プラテン76は例えばFrutigerによる1995年9月19日に発行された米国特許第5,452,177号に記載されるような静電ウエハクランプである。真空ポンプ142は処理チャンバ74内の圧力を制御する。図2及び3の実施例において、真空ポンプ142は低温ポンプから成る。ターボ分子ポンプ144のような付加的真空ポンプが真空ポンプ性能を増加するために使用されてもよい。ファラディカップ148がドーズ量及び均一性を測定するためにリボン形イオンビーム62と一直線上に配置される。システム制御器150が統合処理システムの各要素を制御する。システム制御器は、マイクロプロセッサ、メモリ、統合処理システムのコンポーネントへのインターフェース及びキーボード及びビデオディスプレイターミナルのような周辺機器を含む、プログラムされた汎用コンピュータから成る。
ウエハ72を保持するプラテン76は図2に示されるようにビームライン注入モードにおいてリボン形イオンビーム62を遮るように配置されるかまたは図3に示されるようにプラズマドーピングモードにおいてプラズマドーピングチャンバ120内に配置される。このようにしてシステムはビームラインイオン注入及びプラズマドーピングが可能な統合処理システムを構成する。システム制御器150は各注入のパラメータを定義する入力に応答して動作モードを制御する。
図2及び3を参照して、プラズマドーピングチャンバ120はプラズマドーピングモードにおいて包囲された体積160を画成する。図3に示されたプラズマドーピングモードにおいて、プラテン76はプラズマドーピングチャンバ120の開口158内に配置され、プラテンハロー162はプラズマドーピングチャンバ120内へプラテン76をシールする。こうしてプラテン76はウエハ72をプラズマドーピングチャンバ120内に配置する。プラテン76はウエハ72を支持しかつウエハ72への電気的接続を与える。陽極170は、陰極として機能するプラテン76に関して離隔してプラズマドーピングチャンバ120内に配置される。陽極170は陽極ポジショナーによってプラテン76の面に対して垂直に移動可能である。ここに参考文献として組み込むGoecknerらによる2001年2月6日発行の米国特許第6,182,604号に記載されるように、プラテン76と陽極170との間の領域は中空電極172によって包囲される。ここに参考文献として組み込むLiebertらによる2000年2月1日発行の米国特許第6,020,592号に記載されるように、ファラディビームセンサを含むシールドリング174がプラテン76を包囲する。プラズマドーピングチャンバ120内の包囲体積160は同軸ガスライン180によって処理ガスソース124へ結合される。さらに、包囲体積160は絞り排気ポート182を通じて真空ポンプ126へ結合される。プラズマドーピングチャンバ120はチャンバポジショナー128によって好適には図3に示されたプラズマドーピング位置と図2に示された引っ込み位置との間で移動可能である。プラズマドーピングチャンバ120はプラズマドーピング位置から引っ込み位置まで上方に移動する。
プラテンポジショナー78は処理システムの動作モードに従ってプラテン76を配置する。図2に示されたビームライン注入モードにおいて、プラテン76及びウエハ72はリボン形イオンビーム62の経路に垂直に方向付けられ、プラテン76はウエハ72の表面にわたってリボン形イオンビーム62を分配するようプラテンポジショナー78によって上下に機械的に走査される。プラテンポジショナー78はリボン形イオンビーム62に関して所望の角度でウエハ72を傾斜させるための傾斜器192を含む。好適には、プラテン76は、ファラディカップ148がイオンビーム電流をモニターできるように、機械的走査中にリボン形イオンビーム62の下側に移動される。
プラズマドーピングモードにおいて、プラテン76及びウエハ72は水平に方向付けられる。プラテン76及びウエハ72はプラズマ処理チャンバ120の開口158内へ上方に移動され、プラテンハロー162はプラズマ処理チャンバ120にシールされる。こうして、プラテン76及びウエハ72は図3に示されるようにプラズマドーピングチャンバ120内にシールされる。プラズマドーピングの間、プラテン76及びウエハ72は固定したままである。
ウエハ交換モードにおいて、プラテン76及びウエハ72は水平に方向付けられ、リボン形イオンビーム62の経路の下側まで下げられる。ウエハ72はウエハロボット90、92のひとつによってプラテン72から除去され、新しいウエハが処理用にプラテン76上に配置される。ウエハハンドリング技術は当業者に周知なのでこれ以上説明しない。
動作中、システム制御器150は一回分のウエハをドーピングするためのパラメータを特定する注入レシピを受信する。注入レシピは例えば、ドーパント種、エネルギー及びウエハに印加されるべきドーズ量を特定する。システム制御器150は注入レシピに基づいて動作モードを選択する。例えば、2keV以上の注入エネルギーはビームライン注入モードで利用され、2keV以下のエネルギーはプラズマドーピングモードで利用される。
ビームライン注入モードがシステム制御器150によって選択されたとき、一回分のウエハがウエハハンドラー82によってプラテン76上にロードされ、プラテン76は図2に示されるような垂直位置まで回転される。ビームラインイオン注入モジュール140は所望の注入パラメータを与えかつリボン形イオンビーム62を生成するように調整される。所望のドーズ量及びドーズ均一性が達成されるまで、プラテンポジショナー78は典型的に複数回にわたってリボン形イオンビーム62を垂直に通過するようにプラテン76及びウエハ72を機械的に走査する。ドーズ量及びドーズ均一性はファラディカップ148によってモニターされる。ビームライン注入モードの間、機械的走査用のクリアランスを与えるためにプラズマドーピングチャンバ120は図2に示される引っ込み位置に留まり、プラズマドーピングモジュール122のコンポーネントは不動作状態になる。処理の完了後、ウエハ72はウエハハンドラー82によって処理チャンバ74から除去される。
プラズマドーピングモードがシステム制御器150によって選択されると、ビームラインイオン注入モジュール140が不動作状態になり、プラズマドーピングチャンバ120がチャンバポジショナー128により図3に示されるプラズマドーピング位置まで下げられる。ウエハがウエハハンドラー82によりプラテン76上にロードされた後、プラテン76及びウエハ72がプラズマドーピングチャンバ120の開口150内に上昇され、プラズマドーピングチャンバ120内へシールされる。処理ガスソース124及び真空ポンプ126はプラズマドーピングチャンバ120内に所望の圧力の処理ガスを与えるよう動作する。パルスソース132が動作して、プラテン76と陽極170との間にプラズマが形成され、イオンがウエハ72の方向へ加速される。非常に低エネルギーの注入のために、以下に説明するような中空電極172が利用される。与えられたドーズ量はシールドリング174内のファラディビームセンサによってモニターされる。所望のドーズ量が達成されると、パルスソース132及び処理ガスソース124が不動作状態になり、真空ポンプ126が所望の真空レベルまでプラズマドーピングチャンバ120を排気する。その後プラテン76及びウエハ72がプラズマドーピングチャンバ120から下げられ、ウエハ72がウエハハンドラー82によって除去される。プラテン76がプラズマドーピングチャンバ120から下げられると、真空ポンプ142は処理チャンバ74内に逃げる残留ガスを排気する。所望により、ウエハ72を処理チャンバ74から除去することなく、ウエハ72はプラズマドーピング及びビームラインイオン注入によって処理されてもよい。
プラズマドーピングチャンバ120は包囲体積内に160内に、処理チャンバ内74の処理環境と非常に異なる処理環境を画成する。特に、処理チャンバ74は好適にはビームラインイオン注入中は例えば20マイクロトルの高真空に維持される。プラズマドーピングモードでの動作中、プラズマドーピングチャンバ120内の圧力は約1ミリトルから約500ミリトルの範囲である。例えば、BF3、N2、Ar、PH3、AsH3、またはB2H6のような処理ガスが使用される。
図2及び3の実施例において、プラズマドーピングチャンバ120は処理チャンバ74内に配置され、プラズマドーピング位置と引っ込み位置との間を移動可能である。他の実施例において、プラズマポジショナー78が機械的走査及びプラズマドーピングチャンバ120へのアクセスを許すようプラテン移動の十分な範囲を与えるなら、プラズマドーピングチャンバ120は定位置に固定される。さらに、プラズマドーピングチャンバ120は、プラズマドーピングチャンバ120が処理チャンバ74からアクセスできるように、部分的にまたは完全に処理チャンバの外側に配置されてもよい。例えば、プラズマ処理チャンバ120はゲートバルブを通じて処理チャンバ74からアクセスされる。
プラズマドーピングモジュール110の第1実施例の略示ブロック図が図5及び6に示されている。プラズマドーピングモジュール110の第2実施例の略示ブロック図が図7に示されている。図1から7で同一の要素は同一符号で示されている。図5から7において、真空ベッセル75及び真空ポンプ142はプラズマドーピングモジュールの動作に関連するため、一緒に示されている。統合処理システムの他のコンポーネントは図5から7において省略されている。
図5において、プラテン76はプラズマドーピングモードにおいてプラズマドーピングチャンバ120内にシールされる。図5の構成において、プラズマドーピングチャンバ120は処理チャンバ74から分離され、異なる環境がプラズマドーピングチャンバ120及び処理チャンバ74内で維持される。図6において、プラテン76はプラズマドーピングチャンバ120の開口158から降下されている。したがって、プラズマドーピングチャンバ120及び処理チャンバ74は共通の環境を有する。この構成はビームラインイオン注入モード及びウエハ交換モードに適用可能である。
図5及び6に示されるように、プラズマドーピングチャンバ120は真空ベッセル75内に配置される。プラズマドーピングチャンバ120は真空ポンプ126に結合され、真空ベッセル75は真空ポンプ142に結合される。図6に示されるようにプラテン76がプラズマドーピングチャンバ120の開口158から降下されると、真空ポンプ142は処理チャンバ74及びプラズマドーピングチャンバ120の両方を排気する。したがって、プラテン76がプラズマドーピングチャンバ120内でシールされるとき、プラズマドーピングチャンバ120は比較的低い圧力を有する。プラズマドーピングチャンバ120がシールされた後、プラズマドーピングチャンバ120は真空ポンプ126によって排気される。この構成により真空ポンプ126は比較的小さいポンピング流量を有するが、一方真空ポンプ142は真空ベッセル75を排気するのに十分なより大きなポンピング流量を有する。したがって、図5及び6の実施例において、真空ポンプ142は一次真空ポンプ、真空ポンプ126は二次真空ポンプであると考えられる。
真空ポンプ142は、図6に示されたプラテン76の降下位置において、プラズマドーピングチャンバ120を所望の圧力レベルまで真空排気する。その後プラテン76は図5に示されるようにプラズマドーピングチャンバ120内にシールされる。処理ガスソース124は処理ガスをプラズマドーピングチャンバ120へ導入し、真空ポンプ126はプラズマドーピングチャンバ120内で処理ガスの所望の圧力を維持するのに十分なポンピングを与える。真空ポンプ126はプラズマドーピングチャンバ120を大気圧から処理圧力まで排気することは要求されないため、プラズマドーピングチャンバ120を真空ポンプ126へ結合しているポートが絞られ、真空ポンプ126は比較的小さい流量を有する。処理が完了した後、処理ガスソース124がオフされ、真空ポンプ126はプラズマドーピングチャンバ120から残留処理ガスを排気する。その後、プラテン76が降下し、真空ポンプ142はプラズマドーピングチャンバ120をさらに真空排気する。
さらに図5及び6に示されるように、プラテン76及びプラズマドーピングチャンバ120の壁は接地のような基準ポテンシャルに接続され、パルスソース132は連続パルスを陽極170へ与える。陽極170は絶縁体176によってプラズマドーピングチャンバ120から電気的に分離され、かつ絶縁体178によって真空ベッセル75から電気的に分離されている。以下に説明するように、中空電極172は、スイッチ184によってパルスソース132へ接続されるかまたは中空電極パルスソース190に接続される。
正イオンがウエハ72に注入される典型的な場合において、正パルスが陽極170へ印加される。必要な注入エネルギーに対応する電圧が陽極170とウエハ72との間にプラズマ放電を開始するのに十分である場合において、パルスソース132はプラズマ放電を開始しかつプラズマからウエハ72へイオンを加速するのに使用される。正パルスは正イオンをプラズマシースを横切ってウエハ72へ加速する。負イオンがウエハ72へ注入される場合には、パルスソース132は負パルスを陽極170へ印加する。パルスソース132が陽極170とウエハ72との間でプラズマ放電を開始するのに使用される場合、図5及び6に示される位置1にスイッチ184を置くことによって中空電極172がパルスソース132へ接続される。この構成において、プラズマはウエハ72を除き正にバイアスされた陽極170及び中空電極172によって実質的に包囲され、プラズマ内の正イオンがウエハ72へ加速される。
非常に低注入エネルギーが要求され、パルスソース132によって供給されるパルスの対応する振幅が陽極170とウエハ72との間にプラズマ放電を開始するのに十分でない場合、スイッチ184は位置2に置かれ、中空電極172は中空電極パルスソース190へ接続される。図5及び6の実施例において、正イオンがウエハ72へ注入される際、負パルスが中空電極172へ印加される。陽極170へ印加される正パルスと組み合わされた、中空電極172へ印加される負パルスは、陽極170とウエハ72の間にプラズマ放電を開始するのに十分であり、陽極170へ印加される比較的小さい振幅パルスは非常に低い注入エネルギーに達する。例えば、500電子ボルトのエネルギーを有する一価の正イオンがウエハ72へ注入される場合、スイッチ184は位置2に置かれ、パルスソース132は正の500ボルトパルスを生成するようプログラムされ、中空電極パルスソース190は負の1000ボルトパルスを生成するようプログラムされる。パルスソース132及び190は同調して重なるパルスを生成するよう同期されている。その結果、1500ボルトパルスが陽極170と中空電極172との間に印加され、それはプラズマ放電を開始するのに十分である。プラズマ放電内の正イオンは陽極170とウエハ72との間に印加されるパルスにより500電子ボルトまで加速される。
ウエハ72及びプラテン76が接地されるところの、図5及び6に示されるプラズマドーピングモジュールはいくつかの利点を有する。ウエハが接地されているため、バイアス印加及びドーズ量測定は単純になる。ウエハ76は実質的に陽極170及び中空電極172によって包囲され、プラズマドーピングチャンバ120は絞られた排気ポートを通じて真空ポンプ126へ接合される。結果として、チャンバ壁及び真空ポンプコンポーネントのスパッタリングにより生じるウエハ76の汚染は制限される。さらに、イオンを集める面積は制限され、それによりパルスソース132及び190への負荷が減少する。スパッタリングにより生じる汚染をさらに減少させるために、中空電極172及び他の露出したエレメントは、シリコンウエハの場合にシリコンのような非汚染材料により被覆される。絞られた排気ポートは、排気ポートに進入し真空排気ポンプコンポーネント上に付着するプラズマ中のイオンの傾向を減少させる。
プラズマドーピングモジュール110の第2実施例の略示ブロック図が図7に示されている。図7の実施例は、パルスソース132及び中空電極パルスソース190への電気的接続及び接地に関して、図5及び6の実施例と異なる。特に、陽極170は接地のような基準ポテンシャルに接続され、陰極(プラテン76)は正イオンの注入のために負にパルス化される。中空電極170は必要な注入エネルギーに依存して、スイッチ184によってプラテン76または中空電極パルスソース190へ接続される。図7の実施例において、プラテンハロー162は、プラテン76とプラズマドーピングチャンバ120との間で電気的分離を許すための電気的絶縁材料である。
図7の実施例はまた真空ポンプ構成に関して図5及び6の実施例と異なる。特に、プラズマドーピングチャンバ120は制御されたコンダクタンスアパーチャ194を具備し、真空ポンプ126(図5及び6)は除去されている。制御されたコンダクタンスアパーチャ194はプラズマドーピングチャンバ120の内側体積と処理チャンバ74との間に制御されたガス流を与える。したがって、プラテン76がプラズマドーピングチャンバ120内にシールされる際、プラズマドーピングチャンバ120はアパーチャ194を通じて制御されたガス流を真空ポンプ142に流すことによって真空排気される。制御されたコンダクタンスアパーチャ194は既知のガス流特性を有するひとつまたはそれ以上の開口を含む。ひとつの実施例において、アパーチャ194の開口はプラズマの通過を禁止しながらガス流を許すように、プラズマドーピングチャンバ120の内側体積と処理チャンバ74との間で直視線を避ける。例えば、アパーチャ194はベンドを有するガス導管のように実施される。他の実施例において、アパーチャ194は固定され、解放または閉止され、または調節可能なガスコンダクタンスを有してもよい。図7の真空ポンプ構成は図5及び6の実施例において使用されてもよい。また、図5及び6の真空ポンプ構成が図7の実施例で使用されてもよい。
図5から7に示された上述のプラズマドーピングシステムは図2から4に示された上述の統合処理システム内で利用されてもよい。さらに、図5から7の実施例は別々に利用されるか、上記のようなプラズマドーピングチャンバの真空排気を与えるための外側真空ベッセルを有するあらゆる処理システム内で利用される。外側真空ベッセルは他の処理モジュールを含んでも含まなくてもよい。
本発明の態様内で他のプラズマドーピングアーキテクチャーが使用される。例えば、プラズマはパルス化されるかまたは連続である。プラズマはDC電圧、RF電圧またはマイクロ波電圧によって生成され、それらの各々はパルス化されるかまたは連続である。異なる処理ガス圧力が利用されてもよい。
本発明の思想及び態様内で図面及び詳細な説明に示された実施例のさまざまな修正及び変更が可能であることを理解すべきである。したがって、上記説明及び図面に含まれたすべての事項は例示であって限定するためのものではない。発明は特許請求の範囲の記載によってのみ限定されるべきである。
図1Aは、本発明の実施に適したビームラインイオン注入装置の平面略示図である。 図1Bは、ビームラインコンポーネントを示す、図1Aのビームラインイオン注入装置の平面略示図である。 図2は、ビームラインイオン注入モードで示された、本発明の実施例に従う処理システムの略示断面図である。 図3は、プラズマドーピングモードで示された、図2の処理システムの略示断面図である。 図4は、図2及び3の処理システムの略示ブロック図である。 図5は、プラテンがプラズマドーピングチャンバにシールされた状態で示された、プラズマドーピングモジュールの第1実施例の略示ブロック図である。 図6は、プラテンがプラズマドーピングチャンバから除去された状態で示された、プラズマドーピングモジュールの第1実施例の略示ブロック図である。 図7は、プラテンがプラズマドーピングチャンバにシールされた状態で示された、プラズマドーピングモジュールの第2実施例の略示ブロック図である。

Claims (31)

  1. 半導体ウエハを処理するための装置であって、
    処理チャンバと、
    イオンビームを生成しかつ該イオンビームを前記処理チャンバ内へ方向づけるためのビームラインイオン注入モジュールと、
    前記処理チャンバ内に配置されたプラズマドーピングチャンバを含むプラズマドーピングモジュールと、
    ビームライン注入モードにおいて半導体ウエハを前記イオンビームの経路内に配置し、プラズマドーピングモードにおいて該半導体ウエハを前記プラズマドーピングチャンバ内に配置するためのウエハポジショナーと、
    から成る装置。
  2. 請求項1に記載の装置であって、前記プラズマドーピングチャンバはプラズマドーピング位置と引っ込み位置との間で移動可能である、ところの装置。
  3. 請求項1に記載の装置であって、さらに第1排気ポートを通じて前記処理チャンバへ結合された第1真空ポンプから成り、前記プラズマドーピングモジュールはさらに第2排気ポートを通じて前記プラズマドーピングチャンバへ結合された第2真空ポンプを含むところの装置。
  4. 請求項1に記載の装置であって、前記ウエハポジショナーは前記ウエハを保持するためのプラテン及び前記プラテンを配置するためのプラテンポジショナーから成る、ところの装置。
  5. 請求項に記載の装置であって、前記プラテンはビームライン注入位置、プラズマドーピング位置及びウエハ移送位置との間を移動可能である、ところの装置。
  6. 請求項に記載の装置であって、前記プラズマドーピングチャンバは前記処理チャンバと連通する開口を含み、前記プラテンは前記プラズマドーピングチャンバの開口とシール係合するよう移動可能である、ところの装置。
  7. 請求項に記載の装置であって、前記プラテンは静電ウエハクランプから成る、ところの装置。
  8. 請求項に記載の装置であって、前記プラテンポジショナーはビームライン注入モードにおいて前記イオンビームに関して前記プラテンを機械的に走査するための手段から成る、ところの装置。
  9. 請求項に記載の装置であって、前記プラテンは回転ディスクの周辺付近に設置される、ところの装置。
  10. 請求項1に記載の装置であって、前記プラズマドーピングチャンバはプラズマドーピングモードにおいて前記処理チャンバからシール可能である、ところの装置。
  11. 請求項1に記載の装置であって、さらに、ビームライン注入モードまたはプラズマドーピングモードを選択しかつ選択されたモードに従って前記ウエハポジショナーを制御するための制御器から成る装置。
  12. 請求項に記載の装置であって、さらに、処理するために前記プラテン上にウエハをロードしかつ処理終了後に前記プラテンから該ウエハを除去するためのウエハハンドラーから成る装置。
  13. 請求項に記載の装置であって、前記プラズマドーピングモジュールはさらに、プラズマドーピングチャンバ内に配置された陽極及び前記陽極と前記プラテンとの間に接続されたパルスソースから成る、ところの装置。
  14. 請求項13に記載の装置であって、前記プラテンは基準ポテンシャルに接続され、前記パルスソースによってパルスが前記陽極に印加される、ところの装置。
  15. 請求項13に記載の装置であって、前記陽極は基準ポテンシャルに接続され、前記パルスソースによってパルスが前記プラテンに印加される、ところの装置。
  16. 請求項13に記載の装置であって、前記プラズマドーピングモジュールはさらに、前記陽極と前記プラテンとの間の空間を包囲する中空電極及び前記中空電極に接続された中空電極パルスソースから成る装置。
  17. 請求項13に記載の装置であって、前記プラズマドーピングモジュールはさらに、前記陽極と前記プラテンとの間の空間を包囲する中空電極から成り、前記中空電極は前記陽極に電気的に接続されている、ところの装置。
  18. 請求項13に記載の装置であって、さらに、前記陽極と前記プラテンとの間隔を制御するための陽極ポジショナーから成る装置。
  19. 請求項13に記載の装置であって、さらに、プラズマドーピング位置と引っ込み位置との間で前記プラズマドーピングチャンバを移動するためのチャンバポジショナーから成る装置。
  20. 請求項1に記載の装置であって、さらに、前記処理チャンバに結合された真空ポンプと、前記プラズマドーピングチャンバに結合された処理ガスソースから成り、前記プラズマドーピングモジュールはさらに前記プラズマドーピングチャンバの内部体積と前記処理チャンバとの間に制御されたコンダクタンスアパーチャを含み、前記プラズマドーピングチャンバの内部体積はプラズマドーピングモードにおいて前記制御されたコンダクタンスアパーチャを通じて前記真空ポンプによって排気される、ところの装置。
  21. 請求項1に記載の装置であって、前記プラズマドーピングモジュールはさらに、処理ガスソース及び真空ポンプから成り、各々は前記プラズマドーピングチャンバへ結合され、前記プラズマドーピングチャンバの内部体積はプラズマドーピングモードにおいて前記真空ポンプによって排気される、ところの装置。
  22. 半導体ウエハを処理するための方法であって、
    半導体ウエハを処理チャンバ内に配置する工程と、
    ビームライン注入モードにおいて前記処理チャンバ内のウエハをイオン注入により処理する工程と、
    前記処理チェンバ内に配置されたプラズマドーピングチャンバにウエハを配置する工程と
    プラズマドーピングモードにおいて前記処理プラズマドーピングチェンバ内のウエハをプラズマドーピングにより処理する工程と、
    から成る方法。
  23. 請求項22に記載の方法であって、イオン注入によってウエハを処理する工程は、イオンビームをウエハ表面に向ける工程から成る、ところの方法。
  24. 請求項22に記載の方法であって、ウエハを配置する工程は、前記処理チャンバ内に配置された支持プラテン上にウエハを載置する工程から成る、ところの方法。
  25. 請求項22に記載の方法であって、さらに、プラズマドーピング位置と引っ込み位置との間で前記プラズマドーピングチャンバを移動する工程から成る方法。
  26. 統合処理システムであって、
    処理チャンバ及び半導体ウエハを配置するためのウエハポジショナーを含む処理ステーションと、
    イオンビームを生成し、該イオンビームを前記処理チャンバ内へ方向付けるためのビームラインイオン注入モジュールと、
    前記処理チャンバ内に配置されたプラズマドーピングチャンバを含むプラズマドーピングモジュールと、
    から成り、
    前記ウエハポジショナーは、ビームライン注入モードにおいて前記イオンビームの経路内に半導体ウエハを配置し、プラズマドーピングモードにおいて前記プラズマドーピングチャンバ内に半導体ウエハを配置する、ところの統合処理システム。
  27. 請求項26に記載の統合処理システムであって、前記処理ステーションはさらに前記処理チャンバに結合された第1真空ポンプから成り、前記プラズマドーピングモジュールはさらに前記プラズマドーピングチャンバに結合された第2真空ポンプから成る、ところの統合処理システム。
  28. 請求項26に記載の統合処理システムであって、前記処理ステーションはさらに前記処理チャンバに結合された真空ポンプと、前記プラズマドーピングチャンバへ結合された処理ガスソースとから成り、前記プラズマドーピングモジュールはさらに前記プラズマドーピングチャンバの内部体積と前記処理チャンバとの間の制御されたコンダクタンスアパーチャから成り、前記プラズマドーピングチャンバの内部体積は前記制御されたコンダクタンスアパーチャを通じて前記真空ポンプにより排気される、ところの統合処理システム。
  29. 請求項26に記載の統合処理システムであって、前記ウエハポジショナーは前記ウエハを保持するためのプラテン及び前記プラテンを配置するためのプラテンポジショナーから成る、ところの統合処理システム。
  30. 請求項29に記載の統合処理システムであって、前記プラテンポジショナーはビームライン注入モードにおいて前記イオンビームに関して前記プラテンを機械的に走査するための手段から成る、ところの統合処理システム。
  31. 請求項26に記載の統合処理システムであって、さらに、ビームラインイオン注入またはプラズマドーピングを選択しかつ選択されたモードに従って前記ウエハポジショナーを制御するための制御器から成る統合処理システム。
JP2003541037A 2001-10-26 2002-10-17 統合処理システムにおけるプラズマドーピング及びイオン注入のための方法及び装置 Expired - Fee Related JP4587364B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/007,530 US6716727B2 (en) 2001-10-26 2001-10-26 Methods and apparatus for plasma doping and ion implantation in an integrated processing system
PCT/US2002/033091 WO2003038879A2 (en) 2001-10-26 2002-10-17 Methods and apparatus for plasma doping and ion implantation in an integrated processing system

Publications (2)

Publication Number Publication Date
JP2005508088A JP2005508088A (ja) 2005-03-24
JP4587364B2 true JP4587364B2 (ja) 2010-11-24

Family

ID=21726739

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003541037A Expired - Fee Related JP4587364B2 (ja) 2001-10-26 2002-10-17 統合処理システムにおけるプラズマドーピング及びイオン注入のための方法及び装置

Country Status (10)

Country Link
US (1) US6716727B2 (ja)
EP (1) EP1438734B1 (ja)
JP (1) JP4587364B2 (ja)
KR (1) KR100876049B1 (ja)
CN (1) CN100407363C (ja)
AT (1) ATE445226T1 (ja)
DE (1) DE60233956D1 (ja)
ES (1) ES2333782T3 (ja)
TW (1) TW582061B (ja)
WO (1) WO2003038879A2 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030116089A1 (en) * 2001-12-04 2003-06-26 Walther Steven R. Plasma implantation system and method with target movement
US6762423B2 (en) * 2002-11-05 2004-07-13 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for ion beam neutralization in magnets
KR100475122B1 (ko) * 2002-12-20 2005-03-10 삼성전자주식회사 실리콘 접촉저항을 개선할 수 있는 반도체 소자 형성방법
US7126808B2 (en) * 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
JP4843252B2 (ja) * 2005-05-18 2011-12-21 株式会社アルバック 表面処理装置及び表面処理方法
KR101121419B1 (ko) * 2005-08-30 2012-03-15 주성엔지니어링(주) 기판제조장치 및 이에 이용되는 진공펌핑방법과 벤팅방법
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US20080121821A1 (en) * 2006-11-27 2008-05-29 Varian Semiconductor Equipment Associates Inc. Techniques for low-temperature ion implantation
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
KR100855002B1 (ko) * 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
US8071964B2 (en) * 2008-05-01 2011-12-06 Axcelis Technologies, Inc. System and method of performing uniform dose implantation under adverse conditions
US20100155600A1 (en) * 2008-12-23 2010-06-24 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for plasma dose measurement
US20120060353A1 (en) * 2010-09-14 2012-03-15 Varian Semiconductor Equipment Associates, Inc. Mechanism and method for ensuring alignment of a workpiece to a mask
US8361856B2 (en) 2010-11-01 2013-01-29 Micron Technology, Inc. Memory cells, arrays of memory cells, and methods of forming memory cells
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US8450175B2 (en) 2011-02-22 2013-05-28 Micron Technology, Inc. Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith
US8569831B2 (en) 2011-05-27 2013-10-29 Micron Technology, Inc. Integrated circuit arrays and semiconductor constructions
US20120315734A1 (en) * 2011-06-09 2012-12-13 Chan-Lon Yang Method for fabricating semiconductor device
FR2981193B1 (fr) * 2011-10-06 2014-05-23 Ion Beam Services Procede de commande d'un implanteur ionique en mode immersion plasma.
CN103137413B (zh) * 2011-11-30 2016-06-01 中国科学院微电子研究所 离子注入机控制系统
US9036391B2 (en) 2012-03-06 2015-05-19 Micron Technology, Inc. Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells
US9006060B2 (en) 2012-08-21 2015-04-14 Micron Technology, Inc. N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors
US9129896B2 (en) 2012-08-21 2015-09-08 Micron Technology, Inc. Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors
US9478550B2 (en) 2012-08-27 2016-10-25 Micron Technology, Inc. Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors
JP6143440B2 (ja) * 2012-11-22 2017-06-07 住重試験検査株式会社 半導体装置の製造方法及び基板処理システム
US9111853B2 (en) 2013-03-15 2015-08-18 Micron Technology, Inc. Methods of forming doped elements of semiconductor device structures
US9190248B2 (en) * 2013-09-07 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Dynamic electrode plasma system
US9287085B2 (en) * 2014-05-12 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Processing apparatus and method of treating a substrate
US9899193B1 (en) * 2016-11-02 2018-02-20 Varian Semiconductor Equipment Associates, Inc. RF ion source with dynamic volume control
US11069511B2 (en) 2018-06-22 2021-07-20 Varian Semiconductor Equipment Associates, Inc. System and methods using an inline surface engineering source
US10699871B2 (en) 2018-11-09 2020-06-30 Applied Materials, Inc. System and method for spatially resolved optical metrology of an ion beam
US11728187B2 (en) * 2018-12-21 2023-08-15 Axcelis Technologies, Inc. Method for decreasing cool down time with heated system for semiconductor manufacturing equipment
TWI838493B (zh) * 2019-03-25 2024-04-11 日商亞多納富有限公司 氣體分析裝置
US20200411342A1 (en) * 2019-06-27 2020-12-31 Applied Materials, Inc. Beamline architecture with integrated plasma processing
US20240249908A1 (en) * 2023-01-25 2024-07-25 Applied Materials, Inc. Dose Cup Assembly for an Ion Implanter
US20240274404A1 (en) * 2023-02-09 2024-08-15 Applied Materials, Inc. System and Method for Reducing Particle Formation in a Process Chamber of an Ion Implanter

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3908183A (en) 1973-03-14 1975-09-23 California Linear Circuits Inc Combined ion implantation and kinetic transport deposition process
US4276477A (en) 1979-09-17 1981-06-30 Varian Associates, Inc. Focusing apparatus for uniform application of charged particle beam
US4283631A (en) 1980-02-22 1981-08-11 Varian Associates, Inc. Bean scanning and method of use for ion implantation
US4922106A (en) 1986-04-09 1990-05-01 Varian Associates, Inc. Ion beam scanning method and apparatus
US4899059A (en) 1988-05-18 1990-02-06 Varian Associates, Inc. Disk scanning apparatus for batch ion implanters
JP2783410B2 (ja) * 1988-11-07 1998-08-06 株式会社日立製作所 半導体装置の製造方法および製造装置
US5452177A (en) 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
US5350926A (en) 1993-03-11 1994-09-27 Diamond Semiconductor Group, Inc. Compact high current broad beam ion implanter
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
JP3080867B2 (ja) * 1995-09-25 2000-08-28 日本電気株式会社 Soi基板の製造方法
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JP3413704B2 (ja) * 1996-05-17 2003-06-09 ソニー株式会社 薄膜半導体装置の製造方法
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
JP3239779B2 (ja) * 1996-10-29 2001-12-17 日新電機株式会社 基板処理装置および基板処理方法
US5907158A (en) 1997-05-14 1999-05-25 Ebara Corporation Broad range ion implanter
US6111260A (en) 1997-06-10 2000-08-29 Advanced Micro Devices, Inc. Method and apparatus for in situ anneal during ion implant
AU8675798A (en) 1997-07-29 1999-02-22 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
EP0942453A3 (en) * 1998-03-11 2001-02-07 Axcelis Technologies, Inc. Monitoring of plasma constituents using optical emission spectroscopy
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6221169B1 (en) * 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6545419B2 (en) * 2001-03-07 2003-04-08 Advanced Technology Materials, Inc. Double chamber ion implantation system

Also Published As

Publication number Publication date
EP1438734B1 (en) 2009-10-07
EP1438734A2 (en) 2004-07-21
WO2003038879A3 (en) 2003-12-11
ES2333782T3 (es) 2010-03-01
KR20040054745A (ko) 2004-06-25
US20030082891A1 (en) 2003-05-01
JP2005508088A (ja) 2005-03-24
CN100407363C (zh) 2008-07-30
TW582061B (en) 2004-04-01
DE60233956D1 (de) 2009-11-19
WO2003038879A2 (en) 2003-05-08
CN1592944A (zh) 2005-03-09
ATE445226T1 (de) 2009-10-15
KR100876049B1 (ko) 2008-12-26
US6716727B2 (en) 2004-04-06

Similar Documents

Publication Publication Date Title
JP4587364B2 (ja) 統合処理システムにおけるプラズマドーピング及びイオン注入のための方法及び装置
JP5071976B2 (ja) 安定かつ反復可能なプラズマイオン注入方法
US6213050B1 (en) Enhanced plasma mode and computer system for plasma immersion ion implantation
US7560712B2 (en) Ion implanter with etch prevention member(s)
KR100351489B1 (ko) 반도체기판내에회로및매립절연층을형성하는방법
JP2003513441A (ja) 中空カソードを含むプラズマドーピングシステム。
KR19990082593A (ko) 이온주입시스템에서 선량측정 제어를 위한 제어매카니즘
US8372735B2 (en) USJ techniques with helium-treated substrates
JP4443925B2 (ja) 陽極パルシングによりプラズマドーピングするための方法及び装置
US4512812A (en) Method for reducing phosphorous contamination in a vacuum processing chamber
US5492862A (en) Vacuum change neutralization method
US8124506B2 (en) USJ techniques with helium-treated substrates
JP6296529B2 (ja) イオン注入装置
KR20100114187A (ko) 플라즈마 이온 도핑 장치 및 플라즈마 이온 도핑 방법
KR20110025273A (ko) 플라즈마 이온 도핑 장치
MATSUDA et al. Industrial Aspects of Ion-Implantation Equipment and Ion Beam Generation
KR0121286Y1 (ko) 이온주입 장치
KR20100114178A (ko) 플라즈마 이온 도핑 장치 및 플라즈마 이온 도핑 방법
KR20100114188A (ko) 플라즈마 이온 도핑 장치 및 플라즈마 이온 도핑 방법
KR20100114176A (ko) 플라즈마 이온 도핑 장치 및 플라즈마 이온 도핑 방법
KR20100114184A (ko) 이온 도핑 방법 및 이온 도핑 장치
KR20100114180A (ko) 플라즈마 이온 도핑 장치 및 플라즈마 이온 도핑 방법
KR20100114194A (ko) 플라즈마 이온 도핑 장치 및 플라즈마 이온 도핑 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051017

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091109

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100901

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100903

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130917

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees