CN100397596C - 制备场效应晶体管横向沟道的方法及场效应晶体管 - Google Patents

制备场效应晶体管横向沟道的方法及场效应晶体管 Download PDF

Info

Publication number
CN100397596C
CN100397596C CNB2004100490609A CN200410049060A CN100397596C CN 100397596 C CN100397596 C CN 100397596C CN B2004100490609 A CNB2004100490609 A CN B2004100490609A CN 200410049060 A CN200410049060 A CN 200410049060A CN 100397596 C CN100397596 C CN 100397596C
Authority
CN
China
Prior art keywords
doped
type
region
silicon
strain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100490609A
Other languages
English (en)
Other versions
CN1574252A (zh
Inventor
欧阳齐庆
赵泽安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1574252A publication Critical patent/CN1574252A/zh
Application granted granted Critical
Publication of CN100397596C publication Critical patent/CN100397596C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D35/00Filtering devices having features not specifically covered by groups B01D24/00 - B01D33/00, or for applications not specifically covered by groups B01D24/00 - B01D33/00; Auxiliary devices for filtration; Filter housing constructions
    • B01D35/14Safety devices specially adapted for filtration; Devices for indicating clogging
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/802Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with heterojunction gate, e.g. transistors with semiconductor layer acting as gate insulating layer, MIS-like transistors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Abstract

描述了场效应晶体管的应变的横向沟道、场效应晶体管以及CMOS电路的结构及形成方法,包含在单晶半导体衬底上形成的漏、本体和源区,其中在晶体管的源和本体之间形成异质结,其中源区和沟道相对于本体区独立地产生晶格应变。本发明减少了经由异质结来自源区的漏电流和晶格应变有关的问题,同时通过选择半导体材料和合金组分独立地允许沟道中的晶格应变以增加迁移率。

Description

制备场效应晶体管横向沟道的方法及场效应晶体管
技术领域
本发明涉及半导体晶体管,更具体地,涉及包括导电沟道和晶体管的源/漏和本体(体)之间的异质结的金属绝缘体半导体场效应晶体管(MISFET),导电沟道在电流流动方向上没有异质阻挡层。
背景技术
缩小硅MOSFET的比例已变成半导体产业中的一个主要挑战。随着器件尺寸缩小到纳米范围,传统的技术已不能减小某些不希望的物理效应。例如,防穿通(APT)或晕轮注入(halo implantation)用于减小短沟道效应(SCE)。然而,由于随温度扩散增强,因此很难获得陡峭的掺杂分布(profiles),这些重掺杂的沟道或袋形(pocket)注入区域增加了结电容和带间遂穿。在S.Thompson等人的“MOSscaling:transistor challenges for the 21st century,”Intel TechnologyJournal,Q3,1998中显示对于给定的技术,沟道工程仅降低了~10%的电路栅极延迟,栅氧化物和源/漏(S/D)结深度已按比例缩小,但不能一代接一代地按比例缩小沟道长度。
采用带隙工程,在器件设计中提供了重要的自由度。通过分子束外延(MBE)、各种类型的化学气相淀积(CVD)和/或离子注入生长高质量的拉伸应变的Si/SiGe和压缩应变的SiGe/Si异质结构使得能够将带隙工程的概念引入到成熟的硅技术内。
带隙工程已用于实现各种类型的异质结场效应晶体管(HFET)。最广泛研究的是调制掺杂的场效应晶体管(MODFET),其中量子阱用于约束轻掺杂半导体中的载流子(参见K.Ismail,“Si/SiGeHigh-Speed Field-Effect Transistors”,IEDM,Tech.Dig.,509-512页,1995)。由于杂质散射降低、埋置的沟道中表面粗糙度散射降低以及应变引入的迁移率增强(如果有的话),根据使用的异质材料系统,可以获得较高的载流子迁移率。源自相同的概念,现已提出并研究了各种类型的异质结构CMOS器件(参见,M.A.Armstong等人“Designof Si/SiGe Heterojunction Complementary Metal-OxideSemiconductor Transistors”,IEDM Tech.Dig.,761-764页,1995;S.Imai等人“Si-SiGe Semiconductor Device and Method ofFabricating the same”,U.S.专利No.5,847,419;以及M.Kubo等人“Method of Forming HCMOS Devices with aSilicon-Germanium-Carbon compound Semiconductor Layer”,U.S.专利No.6,190,975,Feb.20,2001)。这些器件的优点为迁移率较高,因此驱动电流高并且速度高。然而,这些平面器件中存在两个突出问题:按比例缩小器件以及控制短沟道效应。
对于短沟道效应,除了超陡峭的倒转(retrograded)的沟道分布和超浅源/漏结之外,绝缘体上硅(SOI)已用于控制短沟道效应。然而,仅靠SOI不能完全除去短沟道效应,而且,SOI的固有问题是浮体效应。减小短沟道效应的另一方式是在源/体结形成内建的能量势垒,特别是势垒高度不取决于施加的偏压的势垒。在这种情况下,异质结提供的带偏移量非常合适。S.Hareland等人在“New structuralapproach for reducing punchthrough current in deep submicrometerMOSFETs and extending MOSFET scaling”,IEEE  ElectronicsLetters,vol.29,no.21,1894-1896页,1993年10月以及X.D.Chen等人在“Vertical P-MOSFETS with heterojunction between source/drainand channel,”Device Research Conference,Denver,2000年6月中提出并研究了异质结MOSFET(HJMOSFET)。
近来,Q.Ouyang等人在U.S.专利No.6319799中已提出了横向高迁移率p沟道异质结晶体管(HMHJT)。Q.Ouyang等人在“A NovelSi/SiGe Heterojunction pMOSFET with Reduced Short-ChannelEffects and Enhanced Drive Current,”IEEE Transactions  onElectron Devices,47(10),2000中进行了详细的模拟研究。为了实现使用这种pMISFET的互补的MISFET,需要相当的高性能nMISFET。在本发明中,提出了横向高性能的异质结nMISFET并且说明了两个实施例。给出了用于互补MOSFET的两个实施例。还描述了它的制造方法。
U.S.专利No.5,285,088描述了“High Electron MobilityTransistor”。该器件具有用于源/漏电极的、由多晶(poly)SiGe层和多晶Si层组成的一对半导体层,以形成在有源区上部分伸出的“悬臂形”。在此情形下,源/漏和栅极自对准。然而,它是平面结构并且仍然受短沟道效应困扰。
发明内容
本发明的目的是提供一种具有极好性能和缩放性的器件。通过使用2维带隙工程,可以避免常规的Si技术中的折衷,并且可以独立地优化驱动电流和漏电流。因此,可以同时获得很高的驱动电流和优良的截止特性。而且,在这种器件中,对短沟道效应的抑制还允许MOSFET技术的连续且更积极的缩放。
本发明用多种实施例介绍了具有这些优点的横向n沟道和互补MISFET结构。本发明的另一方面是用于这种器件的工艺集成方案。在本发明中介绍的器件在晶体管的源和本体之间具有至少一个异质势垒,然而,在沿电流流动方向的沟道中没有异质势垒。由于源结处的异质势垒,显著地减小了漏引入的势垒降低,因此,减少了亚阈值波动和截止状态的漏电。同时,由于在沟道中没有异质势垒,因此驱动电流基本上不受量子机制的隧穿限制。因此,采用这些器件,可以获得很高的开/关比。在高速、低漏电流和低功率的应用中,例如DRAM、膝上电脑以及无线通信的应用中,这些器件极佳。
具有合适带偏移量的任何异质材料系统可以用于实现本器件概念,如基于硅的或III-V材料系统。由于硅技术最成熟,因此硅基材料最经济可行并且具有吸引力。存在着两种类型的在nMISFET中具有电子的适当带偏移量的Si基异质结构。一种是弛豫(relaxed)的SiGe缓冲层上拉伸应变的Si或SiGe,另一种是Si上拉伸应变的Si1-x-yGexCy。另一方面,为了形成互补的MISFET,硅上压缩应变的SiGe或SiGeC可以用于pMISFET,因为它具有空穴的适当带偏移量。对每种材料系统,沟道可以是表面沟道或埋置的量子阱沟道,器件可以建立在多种衬底上,例如体硅、绝缘体上硅、绝缘体上SiGe或蓝宝石衬底上的硅。
根据本发明的一个方面,提供了一种制备场效应晶体管横向应变的硅沟道的方法,包括以下步骤:提供具有第一弛豫的Si1-zGez外延区的衬底并将所述第一驰豫的Si1-zGez外延区掺杂为p型,在所述第一弛豫的Si1-zGez外延区中形成分隔开的第二和第三应变的硅区,将所述第二和第三应变的硅区掺杂为n型并达到大于1×1019原子/cm3的浓度水平,以及在位于所述第二和第三应变的硅区之间的所述第一弛豫的Si1-zGez外延区上形成第四应变的硅区。
根据本发明的另一方面的方法,还包括以下步骤:在上述第四应变的硅区上形成栅介质区;以及在所述栅介质区上形成栅导电区。
根据本发明的再一方面的方法,还包括形成包括所述栅介质区和所述栅导电区的栅叠层的步骤,栅叠层与所述第二和第三应变的硅区之间的所述第一弛豫的Si1-zGez外延区重叠。
根据本发明的还一方面的方法,其中包括所述栅介质区和所述栅导电区的所述栅叠层相对于所述隔开的第二和第三应变的硅区自对准。
根据本发明的另一方面的方法,其中在提供第一弛豫的Si1-zGez外延区的所述步骤之前,进行形成第五Si1-xGex外延区的步骤。
根据本发明的又一方面的方法,其中形成所述第五Si1-xGex外延区使其具有选自由线性缓变的锗含量x、阶变的锗含量x以及均匀的含量x构成的组中的一种锗分布含量。
根据本发明的再一方面的方法,其中通过选自由离子注入然后退火和原位掺杂组成的组中的一种工艺将所述第一弛豫的Si1-zGez外延区掺杂成p型。
根据本发明的又一方面的方法,其中所述第二和第三应变的硅区相对于所述第一驰豫的Si1-zGez外延区产生应变,并通过选自由离子注入和原位掺杂组成的组中的一种工艺掺杂成n型。
根据本发明的还一方面的方法,其中所述栅介质区选自由氧化物、氮化物、硅的氮氧化物、以及硅酸盐单独或组合组成的组。
根据本发明的又一方面的方法,其中所述栅导电区选自由金属、金属硅化物、掺杂的多晶硅或掺杂的多晶SiGe构成的组。
根据本发明的另一方面的方法,其中所述第四应变的硅区在与掺杂为n型的所述第二和第三应变的硅区相邻的区域中被自动掺杂为n型,在与掺杂为p型的所述第一Si1-zGez外延区相邻的区域中被自动掺杂为p型。
根据本发明的再一方面的方法,其中在化学机械抛光掺杂为p型的所述第一Si1-zGez外延区的上表面之后生长所述第四应变的硅层。
根据本发明的一方面,提供了一种制备场效应晶体管横向沟道的方法,包括以下步骤:提供具有掺杂为p型的单晶硅区的衬底;在所述掺杂为p型的单晶硅区内形成分隔开的第一和第二应变的Si1-yCy外延区,将所述第一和第二应变的Si1-yCy外延区掺杂为n型并达到大于1×1019cm-3的浓度水平,以及在掺杂为n型的所述第一和第二应变的Si1-yCy外延区和位于两者之间的所述掺杂为p型的单晶硅区上形成第一硅区。
根据本发明的又一方面的方法,还包括以下步骤:在所述第一硅区上形成栅介质层,以及在上述栅介质层上形成导电区。
根据本发明的另一方面的方法,其中通过选自由CVD、MBE、以及将碳注入到硅内然后再结晶构成的组中的一种工艺形成所述第一和第二应变的Si1-yCy外延区。
根据本发明的又一方面的方法,其中通过选自由离子注入然后退火、以及在采用CVD或MBE的外延生长期间原位掺杂构成的组中的一种工艺将所述单晶硅区掺杂成p型。
根据本发明的另一方面的方法,其中所述栅介质层选自由氧化物、氮化物、硅的氮氧化物、以及硅酸盐单独或组合构成的组。
根据本发明的再一方面的方法,其中通过选自由离子注入然后退火、以及在CVD或MBE的外延生长期间原位掺杂构成的组中的一种工艺将所述第一和第二应变的Si1-yCy外延区掺杂为n型。
根据本发明的再一方面的方法,其中所述导电区选自由金属、金属硅化物、掺杂的多晶硅或掺杂的多晶SiGe构成的组。
根据本发明的又一方面的方法,其中在退火之后,所述第一硅区在与掺杂为n型的应变第一和第二应变的Si1-yCy外延区相邻的区域中被自动掺杂为n型,在与所述掺杂为p型的单晶硅区相邻的区域中被自动掺杂为p型。
根据本发明的还一方面的方法,其中通过选自由快速热退火、炉退火以及激光退火构成的组中的一种工艺进行所述第一硅区中的自动掺杂和掺杂区中掺杂剂的激活。
根据本发明的又一方面的方法,其中通过选自由浅沟槽隔离和硅的局部氧化构成的组中的一种工艺形成介质区。
根据本发明的另一方面的方法,其中在化学机械抛光的步骤之后形成所述第一硅区。
根据本发明的又一方面,提供了一种场效应晶体管,包括:具有掺杂为p型的弛豫的Si1-zGez外延区(81)的衬底,在所述弛豫的Si1-zGez外延区(81)内分隔开的第一和第二应变的硅区(82、83),所述第一和第二应变的硅区(82、83)被掺杂成n型并达到大于1E19原子/cm-3的浓度水平,在上述弛豫的Si1-zGez外延区(81)和所述第一和第二应变的硅区(82、83)上的第三应变的硅区(84),在所述第三应变的硅区(84)上的栅介质区(85)以及在所述栅介质区(85)上的栅导电区(86)。
根据本发明的另一方面的场效应晶体管,还包括包含所述栅介质区(85)和所述导电区(86)的栅叠层(111),所述栅叠层(111)与所述第一和第二应变的硅区(82、83)之间的所述弛豫的Si1-zGez外延区(81)重叠。
根据本发明的又一方面的场效应晶体管,其中包含所述栅介质区(85)和所述导电区(86)的所述栅叠层(111)相对于所述分隔开的第一和第二应变的硅区(82、83)自对准。
根据本发明的另一方面的场效应晶体管,还包括所述弛豫的Si1-zGez外延区(81)下面的Si1-xGex外延区(94)。
根据本发明的再一方面的场效应晶体管,其中所述Si1-xGex外延区(94)具有选自由线性缓变的锗含量x、阶变的锗含量x以及均匀的含量x构成的组中的一种锗分布含量。
根据本发明的又一方面的场效应晶体管,其中所述栅介质区(85)选自由氧化物、氮化物、硅的氮氧化物、以及硅酸盐单独或组合构成的组。
根据本发明的又一方面的场效应晶体管,其中所述栅导电区(86)选自由金属、金属硅化物、掺杂的多晶硅或掺杂的多晶SiGe构成的组。
根据本发明的另一方面的场效应晶体管,其中所述第三应变的硅区(84)在与掺杂为n型的第一和第二应变的硅区(82、83)相邻的区域中被掺杂为n型,在与掺杂为p型的所述Si1-zGez外延区(81)相邻的区域中被掺杂为p型。
根据本发明的又一方面的场效应晶体管,其中在所述Si1-zGez外延区(81)的化学机械抛光的上表面上生长所述第三应变的硅层(84)。
根据本发明的再一方面,提供了一种场效应晶体管,包括:具有掺杂为p型的单晶硅区(31)的衬底,在所述p型的单晶硅区(31)内分隔开的第一和第二应变的Si1-yCy外延区(32、33),所述第一和第二应变的Si1-yCy外延区(32、33)被掺杂成n型并达到大于1E19cm-3的浓度水平,在掺杂为n型的所述第一和第二应变的Si1-yCy外延区(32、33)和位于两者之间的p型单晶硅区(31)上的第一硅区(34),在所述第一硅区(34)上的栅介质区(35),以及在上述栅介质区(35)上的栅导电区(36)。
根据本发明的又一方面的场效应晶体管,其中所述栅介质区(35)选自由氧化物、氮化物、硅的氮氧化物、以及硅酸盐单独或组合构成的组。
根据本发明的还一方面的场效应晶体管,其中所述第一硅区(34)在与掺杂为n型的所述第一和第二应变的Si1-yCy外延区(32、33)相邻的区域中被掺杂为n型,在与所述p型单晶硅区(31)相邻的区域中被掺杂为p型。
根据本发明的又一方面的场效应晶体管,其中所述第一硅区(34)形成在化学机械抛光的单晶硅区(31)上。
附图说明
当结合附图阅读而考虑下面对本发明的详细说明时,本发明的这些和其它特点、目的和优点将显而易见,其中:
图1是立方Si上压缩应变的SiGe或SiGe(C)的能带图。
图2是立方Si上拉伸应变的能带图。
图3是弛豫的SiGe缓冲层上拉伸应变的Si的能带图。
图4是根据本发明的第一实施例横向拉伸应变的Si表面沟道nMOSFET的剖面示意图。
图5是根据本发明的第二实施例在源/漏区中具有拉伸应变的SiC的横向表面沟道nMOSFET的剖面示意图。
图6是具有nMOSFET的拉伸应变的SiC源/漏和pMOSFET的压缩应变的Si1-x-yGexCy源/漏的横向CMOS的剖面示意图。
图7是具有nMOSFET的拉伸应变的Si1-yCy源/漏和p MOSFET的压缩应变的Si1-x-yGexCy源/漏的横向CMOS的剖面示意图。
具体实施方式
碳、硅和锗的晶格间距分别为
Figure C20041004906000141
Figure C20041004906000142
以及
Figure C20041004906000143
双向拉伸应变存在于弛豫的Si上的假晶(pseudomorph)SiC中,或者在弛豫的SiGe或Ge衬底上的假晶Si中。双向拉伸应变意味着在生长平面(表面)中较大的晶格间距以及在假晶材料的生长方向(垂直于表面)中较小的晶格间距。另一方面,压缩双向应变存在于弛豫的Si上的假晶SiGe中,或者在弛豫的Si上的假晶SiGe中,或者在弛豫的SiGe上假晶Ge中。压缩双向应变变意味着在生长平面(表面)中较小的晶格间距以及假晶材料的生长方向(垂直于表面)中较大的晶格间距。将少量的碳(<1%)添加到弛豫的Si上的压缩应变的SiGe内可以补偿并减少SiGe中的应变。应变改变了应变材料的带结构。因此,应变会影响能带偏移量、有效质量以及态密度。参考附图,图1分别通过曲线2和3示出了硅上压缩应变的SiGe的导带和价带。空穴限制在具有高空穴迁移率的压缩应变的SiGe(C)中,该材料系统适合于pMOSFET。
图2分别通过曲线4和5示出了弛豫的Si缓冲层上拉伸应变的Si1-yCy的导带和价带。此时,电子限制在可能具有高电子迁移率的拉伸应变的Si1-yCy中该材料系统适合于nMOSFET。而且,图3分别通过曲线6和7示出了弛豫的硅锗上拉伸应变的硅的导带和价带。电子限制在具有高电子迁移率的拉伸应变的硅中,该材料系统适合于nMOSFET。采用这三个材料系统,沟道可以是表面沟道或埋置量子阱沟道。在图1-3中,纵坐标表示能量,横坐标表示深度。
用于SiGe基横向nMOSFET 78的第一实施例的剖面示意图显示在图4中。该器件具有以下结构特性:
1)漏为n+型拉伸应变的硅82;
2)本体为p型弛豫的SiGe81,掺杂水平被调节到获得需要的阈值电压;
3)源为n+型拉伸应变的硅83;
4)沟道为拉伸应变的Si84,沿箭头93显示的电流流动方向没有异质势垒。沟道在界面805处与本体81形成异质结,起到提供图3所示的带偏移以将电子限制在Si沟道84中的作用。沟道通常由下面的层自动掺杂。由此,本体81上面的沟道区为自动掺杂的p型,而源83和漏82上的沟道区为掺杂的n型。存在其它的方式在沟道层和源/漏中提供需要的掺杂;
5)应变的Si/SiGe异质结形成在界面800处的源和本体之间,优选地,与源/本体冶金(metallurgical)p/n结对准。该异质结起到阻挡电子进入本体81的作用,因此能将截止状态的电流降低几个数量级。而且,异质结中的应变越高,能量势垒变得越高,此时当器件截止时,甚至可以进一步降低由源到本体然后到漏的漏电流;
6)应变的Si/SiGe异质结形成在界面810处的漏和本体之间,优选地,与漏/本体冶金p/n结对准;
7)栅为与整个应变的硅沟道84以及部分源83和漏82重叠的导电层86,绝缘体85在导电层86和沟道84之间;
8)源电极、栅电极和漏电极90、91、92分别耦连到源82、栅86以及漏82;
9)由绝缘层89提供器件隔离;
10)缓冲层94提供了用于层81的弛豫的SiGe晶格模板(template)。层80可以是体硅、SOI衬底、体Ge、绝缘体上锗、绝缘体上SiGe或蓝宝石上硅。
11)绝缘层87保护了栅叠层85和86。
12)绝缘层88可以与层89结合成一体。
除了使用弛豫的SiGe作为假(virtual)衬底以产生拉伸应变的Si层之外,硅上拉伸应变的SiC同样可以用于nMOSFET。这种硅基横向nMOSFET 112的第二实施例的剖面示意图显示在图5中。该器件具有以下结构特点:
1)漏为n+型拉伸应变的SiC 32;
2)本体为p型硅31,掺杂水平被调节到获得需要的阈值电压;
3)源为n+型拉伸应变的SiC 33;
4)沟道为硅或拉伸应变的SiC 32,沿电流流动方向没有异质势垒;
5)应变的SiC/Si异质结形成在界面820处的源和本体之间,优选地,与源/本体冶金p/n结对准;
6)应变的SiC/Si异质结形成在界面830处的漏和本体之间,优选地,与漏/本体冶金p/n结对准;
7)栅为与整个沟道34以及部分源33和漏32重叠的导电层36,绝缘体35在导电层36和沟道34之间;
8)源电极、栅电极和漏电极40、41、42分别耦连到源33、栅36以及漏32;
9)由绝缘层39提供器件隔离;
10)层30可以为体硅或SOI衬底。
11)绝缘层37保护栅叠层35和36。
12)绝缘层38可以与层39结合成一体。
图6示出了横向CMOS反相器282的一个实施例,该反相器为横向对称的nMOSFET 112和横向对称的pMOSFE 280的组合。器件隔离由绝缘区39和50提供。nMOSFET 112具有拉伸应变的SiC源/漏32、33以及硅或应变的SiC沟道34;而pMOSFE 280具有压缩应变的SiGeC源/漏132、133以及硅或应变的SiGeC沟道134。栅极绝缘体35和135可以是氧化物、氮氧化物、其它的高介电常数介质或它们的组合物。栅电极36、136可以是具有中带隙功函数的相同种类金属,或者对于nMOSFET是n型多晶硅或多晶SiGe并且对于pMOSFET是p型多晶硅或多晶SiGe。
图7示出了横向CMOS反相器382的第二实施例,除了nMOSFET 312之外与图6的相同。此时,nMOSFET 312使用了拉伸应变的硅源/漏532、533以及拉伸应变的硅沟道534。栅绝缘体35和135可以是氧化物、氮氧化物或其它高介电常数介质或它们的组合物。栅电极36、136可以是中带隙功函数的相同种类金属,或者对于nMOS是n型多晶硅或多晶SiGe并且对于pMOS是p型多晶硅或多晶SiGe。
根据优选实施例,本发明还包括用于横向异质结nMISFET的工艺集成方案:
a)在硅、弛豫的体SiGe、SOI、SGOI或GOI衬底上定义有源区并形成阱;
b)用介质的叠层作为优选用于选择性处理的掩模进一步定义并形成栅区;
c)蚀刻开口形成凹入的源和漏,凹入的源和漏与所述栅极叠层自对准;
d)优选进行选择性的外延生长,采用或不采用原位掺杂形成拉伸或压缩应变的源/漏区;
e)如果需要除去所述栅叠层并平面化;
f)外延生长沟道层,在阱区和源/漏区上以均匀的方式形成帽盖层(如果埋置沟道器件需要);
g)生长或淀积栅绝缘层,可以是氧化物、氮氧化物或其它高介电常数介质、单独或它们的组合物;
h)生长或淀积栅电极层;可以是多晶硅、多晶SiGe或金属;
i)构图并形成栅;
j)如果不是原位掺杂源、漏,那么进行离子注入和退火;
k)淀积场氧化物;
l)开出用于接触的开口;
m)使源/漏和栅硅化;
n)金属化和金属烧结。
应该注意在附图中,类似的元件或部件用类似和对应的参考数字表示。
虽然描述和说明了含有高迁移率沟道和优选与源和/或漏结重合的异质结的横向半导体器件,但是对于本领域中的技术人员来说显然可以不背离仅由权利要求的范围限定的本发明的宽范围而进行修改和变化。

Claims (36)

1.一种制备场效应晶体管横向应变的硅沟道的方法,包括以下步骤:
提供具有第一弛豫的Si1-zGez外延区的衬底并将所述第一驰豫的Si1-zGez外延区掺杂为p型,
在所述第一弛豫的Si1-zGez外延区中形成分隔开的第二和第三应变的硅区,将所述第二和第三应变的硅区掺杂为n型并达到大于1×1019原子/cm3的浓度水平,以及
在位于所述第二和第三应变的硅区之间的所述第一弛豫的Si1-zGez外延区上形成第四应变的硅区。
2.根据权利要求1的方法,还包括以下步骤:
在上述第四应变的硅区上形成栅介质区;以及
在所述栅介质区上形成栅导电区。
3.根据权利要求2的方法,还包括形成包括所述栅介质区和所述栅导电区的栅叠层的步骤,栅叠层与所述第二和第三应变的硅区之间的所述第一弛豫的Si1-zGez外延区重叠。
4.根据权利要求3的方法,其中包括所述栅介质区和所述栅导电区的所述栅叠层相对于所述隔开的第二和第三应变的硅区自对准。
5.根据权利要求1的方法,其中在提供第一弛豫的Si1-zGez外延区的所述步骤之前,进行形成第五Si1-xGex外延区的步骤。
6.根据权利要求5的方法,其中形成所述第五Si1-xGex外延区使其具有选自由线性缓变的锗含量x、阶变的锗含量x以及均匀的含量x构成的组中的一种锗分布含量。
7.根据权利要求1的方法,其中通过选自由离子注入然后退火和原位掺杂组成的组中的一种工艺将所述第一弛豫的Si1-zGez外延区掺杂成p型。
8.根据权利要求1的方法,其中所述第二和第三应变的硅区相对于所述第一驰豫的Si1-zGez外延区产生应变,并通过选自由离子注入和原位掺杂组成的组中的一种工艺掺杂成n型。
9.根据权利要求2的方法,其中所述栅介质区选自由氧化物、氮化物、硅的氮氧化物、以及硅酸盐单独或组合组成的组。
10.根据权利要求2的方法,其中所述栅导电区选自由金属、金属硅化物、掺杂的多晶硅或掺杂的多晶SiGe构成的组。
11.根据权利要求1的方法,其中所述第四应变的硅区在与掺杂为n型的所述第二和第三应变的硅区(82、83)相邻的区域中被自动掺杂为n型,在与掺杂为p型的所述第一Si1-zGez外延区相邻的区域中被自动掺杂为p型。
12.根据权利要求1的方法,其中在化学机械抛光掺杂为p型的所述第一Si1-zGez外延区的上表面之后生长所述第四应变的硅层。
13.一种制备场效应晶体管横向沟道的方法,包括以下步骤:
提供具有掺杂为p型的单晶硅区(31)的衬底;
在所述掺杂为p型的单晶硅区(31)内形成分隔开的第一和第二应变的Si1-yCy外延区(32、33),将所述第一和第二应变的Si1-yCy外延区(32、33)掺杂为n型并达到大于1×1019cm-3的浓度水平,以及
在掺杂为n型的所述第一和第二应变的Si1-yCy外延区(32、33)和位于两者之间的所述掺杂为p型的单晶硅区(31)上形成第一硅区(34)。
14.根据权利要求13的方法,还包括以下步骤:
在所述第一硅区(34)上形成栅介质层(35),以及
在上述栅介质层(35)上形成导电区(36)。
15.根据权利要求13的方法,其中通过选自由CVD、MBE、以及将碳注入到硅内然后再结晶构成的组中的一种工艺形成所述第一和第二应变的Si1-yCy外延区(32和33)。
16.根据权利要求13的方法,其中通过选自由离子注入然后退火、以及在采用CVD或MBE的外延生长期间原位掺杂构成的组中的一种工艺将所述单晶硅区(31)掺杂成p型。
17.根据权利要求14的方法,其中所述栅介质层(35)选自由氧化物、氮化物、硅的氮氧化物、以及硅酸盐单独或组合构成的组。
18.根据权利要求13的方法,其中通过选自由离子注入然后退火、以及在CVD或MBE的外延生长期间原位掺杂构成的组中的一种工艺将所述第一和第二应变的Si1-yCy外延区(32、33)掺杂为n型。
19.根据权利要求14的方法,其中所述导电区(36)选自由金属、金属硅化物、掺杂的多晶硅或掺杂的多晶SiGe构成的组。
20.根据权利要求13的方法,其中通过选自由快速热退火、炉退火以及激光退火构成的组中的一种工艺进行所述第一硅区(34)中的自动掺杂和掺杂区中掺杂剂的激活。
21.根据权利要求20的方法,其中在退火之后,所述第一硅区(34)在与掺杂为n型的应变第一和第二应变的Si1-yCy外延区(32、33)相邻的区域中被自动掺杂为n型,在与所述掺杂为p型的单晶硅区(31)相邻的区域中被自动掺杂为p型。
22.根据权利要求13的方法,其中通过选自由浅沟槽隔离和硅的局部氧化构成的组中的一种工艺形成介质区(50)。
23.根据权利要求13的方法,其中在化学机械抛光的步骤之后形成所述第一硅区(34)。
24.一种场效应晶体管,包括:
具有掺杂为p型的弛豫的Si1-zGez外延区(81)的衬底,
在所述弛豫的Si1-zGez外延区(81)内分隔开的第一和第二应变的硅区(82、83),所述第一和第二应变的硅区(82、83)被掺杂成n型并达到大于1E19原子/cm-3的浓度水平,
在上述弛豫的Si1-zGez外延区(81)和所述第一和第二应变的硅区(82、83)上的第三应变的硅区(84),
在所述第三应变的硅区(84)上的栅介质区(85)以及在所述栅介质区(85)上的栅导电区(86)。
25.根据权利要求24的场效应晶体管,还包括包含所述栅介质区(85)和所述导电区(86)的栅叠层(111),所述栅叠层(111)与所述第一和第二应变的硅区(82、83)之间的所述弛豫的Si1-zGez外延区(81)重叠。
26.根据权利要求25的场效应晶体管,其中包含所述栅介质区(85)和所述导电区(86)的所述栅叠层(111)相对于所述分隔开的第一和第二应变的硅区(82、83)自对准。
27.根 据权利要求24的场效应晶体管,还包括所述弛豫的Si1-zGez外延区(81)下面的Si1-xGex外延区(94)。
28.根据权利要求27的场效应晶体管,其中所述Si1-xGex外延区(94)具有选自由线性缓变的锗含量x、阶变的锗含量x以及均匀的含量x构成的组中的一种锗分布含量。
29.根据权利要求24的场效应晶体管,其中所述栅介质区(85)选自由氧化物、氮化物、硅的氮氧化物、以及硅酸盐单独或组合构成的组。
30.根据权利要求25的场效应晶体管,其中所述栅导电区(86)选自由金属、金属硅化物、掺杂的多晶硅或掺杂的多晶SiGe构成的组。
31.根据权利要求24的场效应晶体管,其中所述第三应变的硅区(84)在与掺杂为n型的第一和第二应变的硅区(82、83)相邻的区域中被掺杂为n型,在与掺杂为p型的所述Si1-zGez外延区(81)相邻的区域中被掺杂为p型。
32.根据权利要求24的场效应晶体管,其中在所述Si1-zGez外延区(81)的化学机械抛光的上表面上生长所述第三应变的硅层(84)。
33.一种场效应晶体管,包括:
具有掺杂为p型的单晶硅区(31)的衬底,
在所述p型的单晶硅区(31)内分隔开的第一和第二应变的Si1-yCy外延区(32、33),所述第一和第二应变的Si1-yCy外延区(32、33)被掺杂成n型并达到大于1E19cm-3的浓度水平,
在掺杂为n型的所述第一和第二应变的Si1-yCy外延区(32、33)和位于两者之间的p型单晶硅区(31)上的第一硅区(34),
在所述第一硅区(34)上的栅介质区(35),以及
在上述栅介质区(35)上的栅导电区(36)。
34.根据权利要求33的场效应晶体管,其中所述栅介质区(35)选自由氧化物、氮化物、硅的氮氧化物、以及硅酸盐单独或组合构成的组。
35.根据权利要求33的场效应晶体管,其中所述第一硅区(34)在与掺杂为n型的所述第一和第二应变的Si1-yCy外延区(32、33)相邻的区域中被掺杂为n型,在与所述p型单晶硅区(31)相邻的区域中被掺杂为p型。
36.根据权利要求33的场效应晶体管,其中所述第一硅区(34)形成在化学机械抛光的单晶硅区(31)上。
CNB2004100490609A 2003-06-17 2004-06-11 制备场效应晶体管横向沟道的方法及场效应晶体管 Expired - Fee Related CN100397596C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/462,933 2003-06-17
US10/462,933 US6927414B2 (en) 2003-06-17 2003-06-17 High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof

Publications (2)

Publication Number Publication Date
CN1574252A CN1574252A (zh) 2005-02-02
CN100397596C true CN100397596C (zh) 2008-06-25

Family

ID=33517002

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100490609A Expired - Fee Related CN100397596C (zh) 2003-06-17 2004-06-11 制备场效应晶体管横向沟道的方法及场效应晶体管

Country Status (4)

Country Link
US (3) US6927414B2 (zh)
KR (1) KR100734820B1 (zh)
CN (1) CN100397596C (zh)
TW (1) TWI332269B (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI294670B (en) 2003-06-17 2008-03-11 Ibm Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof
US7045847B2 (en) * 2003-08-11 2006-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US6908824B2 (en) * 2003-11-06 2005-06-21 Chartered Semiconductor Manufacturing Ltd. Self-aligned lateral heterojunction bipolar transistor
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7217611B2 (en) * 2003-12-29 2007-05-15 Intel Corporation Methods for integrating replacement metal gate structures
JP4177775B2 (ja) * 2004-03-16 2008-11-05 株式会社東芝 半導体基板及びその製造方法並びに半導体装置
US7023018B2 (en) * 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US20050253205A1 (en) * 2004-05-17 2005-11-17 Fujitsu Limited Semiconductor device and method for fabricating the same
KR100531177B1 (ko) * 2004-08-07 2005-11-29 재단법인서울대학교산학협력재단 격자 변형된 반도체 박막 형성 방법
JP2006108365A (ja) 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
US7589368B2 (en) * 2005-03-21 2009-09-15 Micronix International Co., Ltd. Three-dimensional memory devices
US7348611B2 (en) * 2005-04-22 2008-03-25 International Business Machines Corporation Strained complementary metal oxide semiconductor (CMOS) on rotated wafers and methods thereof
US7183596B2 (en) * 2005-06-22 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Composite gate structure in an integrated circuit
TWI267926B (en) * 2005-09-23 2006-12-01 Ind Tech Res Inst A new method for high mobility enhancement strained channel CMOS with single workfunction metal-gate
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US7986029B2 (en) * 2005-11-08 2011-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dual SOI structure
JP2007158295A (ja) * 2005-11-10 2007-06-21 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US8255843B2 (en) * 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US20070111404A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US8441036B2 (en) * 2006-03-22 2013-05-14 Nissan Motor Co., Ltd. Semiconductor device and method of manufacturing the same
JP4271210B2 (ja) * 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8154051B2 (en) * 2006-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor with in-channel and laterally positioned stressors
US7681852B2 (en) * 2007-01-22 2010-03-23 Charles Magee Vehicle cup and plate holders
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
KR101007242B1 (ko) 2007-02-22 2011-01-13 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치 및 그 제조 방법
US7741658B2 (en) * 2007-08-21 2010-06-22 International Business Machines Corporation Self-aligned super stressed PFET
KR20090049008A (ko) * 2007-11-12 2009-05-15 한국전자통신연구원 금속-절연체 전이(mit)소자를 이용한 트랜지스터발열제어 회로 및 그 발열제어 방법
US9153594B2 (en) * 2008-01-09 2015-10-06 Faquir C. Jain Nonvolatile memory and three-state FETs using cladded quantum dot gate structure
DE102008006961A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
US7968910B2 (en) 2008-04-15 2011-06-28 International Business Machines Corporation Complementary field effect transistors having embedded silicon source and drain regions
US7964487B2 (en) * 2008-06-04 2011-06-21 International Business Machines Corporation Carrier mobility enhanced channel devices and method of manufacture
US7759142B1 (en) 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
US8080820B2 (en) * 2009-03-16 2011-12-20 Intel Corporation Apparatus and methods for improving parallel conduction in a quantum well device
US7929343B2 (en) 2009-04-07 2011-04-19 Micron Technology, Inc. Methods, devices, and systems relating to memory cells having a floating body
US8148780B2 (en) 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
US20110215376A1 (en) 2010-03-08 2011-09-08 International Business Machines Corporation Pre-gate, source/drain strain layer formation
CN101819996B (zh) * 2010-04-16 2011-10-26 清华大学 半导体结构
CN101859771B (zh) * 2010-05-07 2012-03-28 清华大学 一种具有应变沟道的cmos器件结构及其形成方法
DE102010029531B4 (de) 2010-05-31 2017-09-07 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung der Defektraten in PFET-Transistoren mit einem Si/Ge-Halbleitermaterial, das durch epitaktisches Wachsen hergestellt ist
DE102010040064B4 (de) 2010-08-31 2012-04-05 Globalfoundries Inc. Verringerte Schwellwertspannungs-Breitenabhängigkeit in Transistoren, die Metallgateelektrodenstrukturen mit großem ε aufweisen
DE102010046215B4 (de) 2010-09-21 2019-01-03 Infineon Technologies Austria Ag Halbleiterkörper mit verspanntem Bereich, Elektronisches Bauelement und ein Verfahren zum Erzeugen des Halbleiterkörpers.
FR2965975B1 (fr) * 2010-10-11 2012-12-21 Commissariat Energie Atomique Transistor a effet de champ sur ilot de matériau semi-conducteur auto-assemble
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US8624324B1 (en) * 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US8952431B2 (en) * 2013-05-09 2015-02-10 International Business Machines Corporation Stacked carbon-based FETs
US9231094B2 (en) * 2013-05-21 2016-01-05 Globalfoundries Inc. Elemental semiconductor material contact for high electron mobility transistor
US9276077B2 (en) 2013-05-21 2016-03-01 Globalfoundries Inc. Contact metallurgy for self-aligned high electron mobility transistor
US9647065B2 (en) 2013-10-17 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Bipolar transistor structure having split collector region and method of making the same
US9525053B2 (en) 2013-11-01 2016-12-20 Samsung Electronics Co., Ltd. Integrated circuit devices including strained channel regions and methods of forming the same
EP3087602A4 (en) * 2013-12-27 2017-08-09 Intel Corporation Bi-axial tensile strained ge channel for cmos
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
KR102434914B1 (ko) 2016-01-15 2022-08-23 삼성전자주식회사 반도체 소자의 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN110571268B (zh) * 2019-08-15 2021-01-29 西安电子科技大学 具有部分宽禁带材料/硅材料异质结的igbt及其制作方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5285088A (en) * 1991-09-17 1994-02-08 Nec Corporation High electron mobility transistor
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6190975B1 (en) * 1996-09-17 2001-02-20 Matsushita Electric Industrial Co., Ltd. Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3899363A (en) * 1974-06-28 1975-08-12 Ibm Method and device for reducing sidewall conduction in recessed oxide pet arrays
US5709745A (en) * 1993-01-25 1998-01-20 Ohio Aerospace Institute Compound semi-conductors and controlled doping thereof
KR0127269B1 (ko) * 1993-12-08 1997-12-29 김주용 밴드갭 차이를 이용한 상보형 모스트랜지스터
US5399887A (en) * 1994-05-03 1995-03-21 Motorola, Inc. Modulation doped field effect transistor
JP3305197B2 (ja) * 1995-09-14 2002-07-22 株式会社東芝 半導体装置
US5970353A (en) * 1998-03-30 1999-10-19 Advanced Micro Devices, Inc. Reduced channel length lightly doped drain transistor using a sub-amorphous large tilt angle implant to provide enhanced lateral diffusion
AU2003238963A1 (en) * 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6998683B2 (en) * 2002-10-03 2006-02-14 Micron Technology, Inc. TFT-based common gate CMOS inverters, and computer systems utilizing novel CMOS inverters
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
WO2004081982A2 (en) * 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5285088A (en) * 1991-09-17 1994-02-08 Nec Corporation High electron mobility transistor
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6190975B1 (en) * 1996-09-17 2001-02-20 Matsushita Electric Industrial Co., Ltd. Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique

Also Published As

Publication number Publication date
US20050239241A1 (en) 2005-10-27
CN1574252A (zh) 2005-02-02
US20040256614A1 (en) 2004-12-23
KR100734820B1 (ko) 2007-07-06
TW200524171A (en) 2005-07-16
KR20040108552A (ko) 2004-12-24
US20100159658A1 (en) 2010-06-24
US6927414B2 (en) 2005-08-09
US7902012B2 (en) 2011-03-08
US7569442B2 (en) 2009-08-04
TWI332269B (en) 2010-10-21

Similar Documents

Publication Publication Date Title
CN100397596C (zh) 制备场效应晶体管横向沟道的方法及场效应晶体管
US7679121B2 (en) Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US6943407B2 (en) Low leakage heterojunction vertical transistors and high performance devices thereof
US7205604B2 (en) Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US20070148939A1 (en) Low leakage heterojunction vertical transistors and high performance devices thereof
EP1488462B1 (en) Strained fin fets structure and method
US7368358B2 (en) Method for producing field effect device that includes epitaxially growing SiGe source/drain regions laterally from a silicon body
US7176530B1 (en) Configuration and fabrication of semiconductor structure having n-channel channel-junction field-effect transistor
CN101667595B (zh) 半导体装置
JP2000286418A (ja) 半導体装置および半導体基板
CN100353510C (zh) 绝缘栅薄膜晶体管及其控制系统
US7892899B2 (en) Hybrid orientation substrate and method for fabrication thereof
Liu et al. ELECTRICAL CHARACTERISTICS OF DEEP SUB-MICRON VERTICAL SIGE NMOSFETS
CN102820307A (zh) 一种基于SOI衬底的双多晶平面应变BiCMOS集成器件及制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171115

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171115

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080625

Termination date: 20190611