CA1031471A - Production de dispositifs a semiconducteur par depot simultane de composes monocristallins et polycristallins iii(a)-v(a) au moyen d'un faisceau moleculaire - Google Patents

Production de dispositifs a semiconducteur par depot simultane de composes monocristallins et polycristallins iii(a)-v(a) au moyen d'un faisceau moleculaire

Info

Publication number
CA1031471A
CA1031471A CA227,245A CA227245A CA1031471A CA 1031471 A CA1031471 A CA 1031471A CA 227245 A CA227245 A CA 227245A CA 1031471 A CA1031471 A CA 1031471A
Authority
CA
Canada
Prior art keywords
monocrystalline
compounds
semiconductor devices
molecular beam
beam deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
CA227,245A
Other languages
English (en)
Inventor
William C. Ballamy
Alfred Y. Cho
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AT&T Corp
Original Assignee
Western Electric Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Western Electric Co Inc filed Critical Western Electric Co Inc
Application granted granted Critical
Publication of CA1031471A publication Critical patent/CA1031471A/fr
Expired legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/04Pattern deposit, e.g. by using masks
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02392Phosphides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02395Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02463Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/7605Making of isolation regions between components between components manufactured in an active substrate comprising AIII BV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/007Autodoping
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/065Gp III-V generic compounds-processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/085Isolated-integrated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/122Polycrystalline
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/139Schottky barrier
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/169Vacuum deposition, e.g. including molecular beam epitaxy

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Element Separation (AREA)
  • Junction Field-Effect Transistors (AREA)
CA227,245A 1974-08-28 1975-05-16 Production de dispositifs a semiconducteur par depot simultane de composes monocristallins et polycristallins iii(a)-v(a) au moyen d'un faisceau moleculaire Expired CA1031471A (fr)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US501154A US3928092A (en) 1974-08-28 1974-08-28 Simultaneous molecular beam deposition of monocrystalline and polycrystalline III(a)-V(a) compounds to produce semiconductor devices

Publications (1)

Publication Number Publication Date
CA1031471A true CA1031471A (fr) 1978-05-16

Family

ID=23992346

Family Applications (1)

Application Number Title Priority Date Filing Date
CA227,245A Expired CA1031471A (fr) 1974-08-28 1975-05-16 Production de dispositifs a semiconducteur par depot simultane de composes monocristallins et polycristallins iii(a)-v(a) au moyen d'un faisceau moleculaire

Country Status (8)

Country Link
US (1) US3928092A (fr)
JP (1) JPS6024579B2 (fr)
CA (1) CA1031471A (fr)
DE (1) DE2538325C2 (fr)
FR (1) FR2283550A1 (fr)
GB (2) GB1526417A (fr)
IT (1) IT1042046B (fr)
NL (1) NL7510130A (fr)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4063974A (en) * 1975-11-14 1977-12-20 Hughes Aircraft Company Planar reactive evaporation method for the deposition of compound semiconducting films
JPS5372A (en) * 1976-06-24 1978-01-05 Agency Of Ind Science & Technol Selective doping crystal growing method
US4076573A (en) * 1976-12-30 1978-02-28 Rca Corporation Method of making planar silicon-on-sapphire composite
US4111725A (en) * 1977-05-06 1978-09-05 Bell Telephone Laboratories, Incorporated Selective lift-off technique for fabricating gaas fets
US4186410A (en) * 1978-06-27 1980-01-29 Bell Telephone Laboratories, Incorporated Nonalloyed ohmic contacts to n-type Group III(a)-V(a) semiconductors
US4216036A (en) * 1978-08-28 1980-08-05 Bell Telephone Laboratories, Incorporated Self-terminating thermal oxidation of Al-containing group III-V compound layers
DE2941908C2 (de) * 1979-10-17 1986-07-03 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Verfahren zum Herstellen einer eine Silizium-Schicht aufweisenden Solarzelle
JPS57121219A (en) * 1981-01-21 1982-07-28 Hitachi Ltd Manufacture of semiconductor device
NL8220051A (nl) * 1981-02-04 1983-01-03 Western Electric Co Werkwijze voor het vormen van een monokristallijn halfgeleidergebied op een isolatiefilm.
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US5134090A (en) * 1982-06-18 1992-07-28 At&T Bell Laboratories Method of fabricating patterned epitaxial silicon films utilizing molecular beam epitaxy
US4462847A (en) * 1982-06-21 1984-07-31 Texas Instruments Incorporated Fabrication of dielectrically isolated microelectronic semiconductor circuits utilizing selective growth by low pressure vapor deposition
US4477308A (en) * 1982-09-30 1984-10-16 At&T Bell Laboratories Heteroepitaxy of multiconstituent material by means of a _template layer
US4833095A (en) * 1985-02-19 1989-05-23 Eaton Corporation Method for buried channel field effect transistor for microwave and millimeter frequencies utilizing ion implantation
US4601096A (en) * 1983-02-15 1986-07-22 Eaton Corporation Method for fabricating buried channel field effect transistor for microwave and millimeter frequencies utilizing molecular beam epitaxy
US4837175A (en) * 1983-02-15 1989-06-06 Eaton Corporation Making a buried channel FET with lateral growth over amorphous region
US4555301A (en) * 1983-06-20 1985-11-26 At&T Bell Laboratories Formation of heterostructures by pulsed melting of precursor material
US4761300A (en) * 1983-06-29 1988-08-02 Stauffer Chemical Company Method of vacuum depostion of pnictide films on a substrate using a pnictide bubbler and a sputterer
US4622093A (en) * 1983-07-27 1986-11-11 At&T Bell Laboratories Method of selective area epitaxial growth using ion beams
US4855013A (en) * 1984-08-13 1989-08-08 Agency Of Industrial Science And Technology Method for controlling the thickness of a thin crystal film
US4935789A (en) * 1985-02-19 1990-06-19 Eaton Corporation Buried channel FET with lateral growth over amorphous region
US4724220A (en) * 1985-02-19 1988-02-09 Eaton Corporation Method for fabricating buried channel field-effect transistor for microwave and millimeter frequencies
EP0208795A1 (fr) * 1985-07-12 1987-01-21 International Business Machines Corporation Méthode de fabrication d'un transistor à effet de champ auto-aligné ayant un contact métal semi-conducteur
DE3605793A1 (de) * 1986-02-22 1987-08-27 Philips Patentverwaltung Verfahren zur herstellung von strukturierten epitaxialen schichten auf einem substrat
DE3704378A1 (de) * 1986-05-21 1987-11-26 Philips Patentverwaltung Verfahren zur herstellung eines optischen streifenwellenleiters fuer nicht-reziproke optische bauelemente
JPS6325057U (fr) * 1986-08-03 1988-02-18
JP2743377B2 (ja) * 1987-05-20 1998-04-22 日本電気株式会社 半導体薄膜の製造方法
JPH05291140A (ja) * 1992-04-09 1993-11-05 Fujitsu Ltd 化合物半導体薄膜の成長方法
US6265322B1 (en) * 1999-09-21 2001-07-24 Agere Systems Guardian Corp. Selective growth process for group III-nitride-based semiconductors
US6743697B2 (en) * 2000-06-30 2004-06-01 Intel Corporation Thin silicon circuits and method for making the same
US6406981B1 (en) * 2000-06-30 2002-06-18 Intel Corporation Method for the manufacture of semiconductor devices and circuits
US8261690B2 (en) * 2006-07-14 2012-09-11 Georgia Tech Research Corporation In-situ flux measurement devices, methods, and systems
US11515397B2 (en) * 2020-07-21 2022-11-29 Globalfoundries U.S. Inc. III-V compound semiconductor layer stacks with electrical isolation provided by a trap-rich layer

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3476593A (en) * 1967-01-24 1969-11-04 Fairchild Camera Instr Co Method of forming gallium arsenide films by vacuum deposition techniques
US3574007A (en) * 1967-07-19 1971-04-06 Frances Hugle Method of manufacturing improved mis transistor arrays
US3617822A (en) * 1967-12-05 1971-11-02 Sony Corp Semiconductor integrated circuit
FR1593881A (fr) * 1967-12-12 1970-06-01
US3615931A (en) * 1968-12-27 1971-10-26 Bell Telephone Labor Inc Technique for growth of epitaxial compound semiconductor films
BE754400A (fr) * 1969-08-08 1971-01-18 Western Electric Co Procede de depot de minces pellicules de phosphure de gallium
US3666553A (en) * 1970-05-08 1972-05-30 Bell Telephone Labor Inc Method of growing compound semiconductor films on an amorphous substrate
US3698947A (en) * 1970-11-02 1972-10-17 Ibm Process for forming monocrystalline and poly
JPS513632B2 (fr) * 1971-10-26 1976-02-04
US3762945A (en) * 1972-05-01 1973-10-02 Bell Telephone Labor Inc Technique for the fabrication of a millimeter wave beam lead schottkybarrier device
US3865625A (en) * 1972-10-13 1975-02-11 Bell Telephone Labor Inc Molecular beam epitaxy shadowing technique for fabricating dielectric optical waveguides

Also Published As

Publication number Publication date
US3928092A (en) 1975-12-23
FR2283550A1 (fr) 1976-03-26
DE2538325C2 (de) 1984-09-06
JPS5149678A (fr) 1976-04-30
DE2538325A1 (de) 1976-03-11
GB1526416A (en) 1978-09-27
JPS6024579B2 (ja) 1985-06-13
GB1526417A (en) 1978-09-27
IT1042046B (it) 1980-01-30
NL7510130A (nl) 1976-03-02
FR2283550B1 (fr) 1978-03-17

Similar Documents

Publication Publication Date Title
CA1031471A (fr) Production de dispositifs a semiconducteur par depot simultane de composes monocristallins et polycristallins iii(a)-v(a) au moyen d'un faisceau moleculaire
AU500045B2 (en) Method of etching a semiconductor device
CA991040A (en) Solar collector and method of making same
ZA75373B (en) Method of growing plant cells
AU504338B2 (en) Peptide substrate for measuring enzymes
AU471961B2 (en) Method of making a triple density silicon nitride article
CA1026873A (fr) Methode de montage de pastilles semiconductrices
JPS54163672A (en) Method of growing semiconductor compound monocrystal
CA1036050A (fr) Mode de fabrication d'un dispositif a semiconducteur
CA1022462A (en) Gas turbine plant
CA1019328A (fr) Series des 5-aryl-2-furamidines
CA1022438A (en) Method of epitaxially depositing a semiconductor material on a substrate
CA918042A (en) Method of forming and regularly growing a semiconductor compound
AU498010B2 (en) Plant growth agent
AU4339179A (en) Manufacture of semiconductor ribbon + solar cells
AU7889675A (en) Plant growth agent
AU8552575A (en) Substrate insulation
AU498174B2 (en) Cultivation of vegetable cells
CA1030468A (fr) Production de levures du genre pichia aganobii assimilant l'alcool
AU492812B2 (en) Method of growing plant cells
AU8142375A (en) Method of growing epitaxial layers of silicon
AU486792B2 (en) Improved defination control of polycrystalline silicon
AU8035675A (en) Improved defination control of polycrystalline silicon
AU487325B2 (en) Operation of mercury-cathode cells
AU490143B2 (en) Operation of mercury-cathode cells