KR20150103697A - Processes and apparatus for preparing heterostructures with reduced strain by radial distention - Google Patents

Processes and apparatus for preparing heterostructures with reduced strain by radial distention Download PDF

Info

Publication number
KR20150103697A
KR20150103697A KR1020157020443A KR20157020443A KR20150103697A KR 20150103697 A KR20150103697 A KR 20150103697A KR 1020157020443 A KR1020157020443 A KR 1020157020443A KR 20157020443 A KR20157020443 A KR 20157020443A KR 20150103697 A KR20150103697 A KR 20150103697A
Authority
KR
South Korea
Prior art keywords
substrate
mpa
chamber
layer
top plate
Prior art date
Application number
KR1020157020443A
Other languages
Korean (ko)
Other versions
KR102203560B1 (en
Inventor
로버트 제이. 팔스터
블라디미르 브이. 보론코브
존 에이. 피트니
피터 디. 알브레히트
Original Assignee
썬에디슨 세미컨덕터 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 썬에디슨 세미컨덕터 리미티드 filed Critical 썬에디슨 세미컨덕터 리미티드
Publication of KR20150103697A publication Critical patent/KR20150103697A/en
Application granted granted Critical
Publication of KR102203560B1 publication Critical patent/KR102203560B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Abstract

감소된 변형을 가진 이종구조들을 제조하기 위한 장치 및 공정들이 개시된다. 이종구조들은 상대적으로 낮은 결함의 이종구조를 형성하기 위해 구조와 상이한 결정 격자 상수를 갖는 표면 층에 맞추어지는 반도체 구조를 포함할 수 있다.Apparatus and processes for making heterogeneous structures with reduced strain are disclosed. Heterogeneous structures may include semiconductor structures that are tailored to a surface layer having a crystal lattice constant that is different from the structure to form a relatively low defect heterostructure.

Figure P1020157020443
Figure P1020157020443

Description

방사상 팽창에 의해 감소된 변형을 가진 이종구조들을 제조하기 위한 공정들 및 장치{PROCESSES AND APPARATUS FOR PREPARING HETEROSTRUCTURES WITH REDUCED STRAIN BY RADIAL DISTENTION}FIELD OF THE INVENTION [0001] The present invention relates to processes and apparatus for producing heterogeneous structures with reduced strain by radial expansion. ≪ Desc / Clms Page number 1 >

[관련 출원에 대한 상호참조] [Cross reference to related application]

본 출원은 2012년 12월 31일 출원된 미국 가출원 번호 제61/747,613호; 2013년 3월 15일 출원된 미국 가출원 번호 제61/793,999호; 2013년 3월 15일 출원된 미국 가출원 번호 제61/790,445호; 및 2013년 3월 15일 출원된 미국 가출원 번호 제61/788,744호의 혜택을 주장하며, 이 출원들의 각각은 참조에 의해 본 명세서에 통합된다.This application is related to U.S. Provisional Application No. 61 / 747,613, filed December 31, 2012; U.S. Provisional Application No. 61 / 793,999, filed March 15, 2013; U.S. Provisional Application No. 61 / 790,445, filed March 15, 2013; And U. S. Provisional Application No. 61 / 788,744, filed March 15, 2013, each of which is incorporated herein by reference.

본 개시는 감소된 변형(strain)을 가진 반도체 이종구조들(semiconductor heterostructures)의 제조와 일반적으로 관련되는데, 특히 자신과는 상이한 결정 격자 상수를 갖는 표면 층에 맞추어지고 그에 의해 비교적 낮은 결함의 이종구조를 형성하는 반도체 기판을 가진 이종구조들과 관련된다.This disclosure relates generally to the fabrication of semiconductor heterostructures with reduced strain, in particular to a surface layer having a crystal lattice constant different from that of itself, thereby forming a heterostructure of relatively low defect Lt; RTI ID = 0.0 > a < / RTI > semiconductor substrate.

디바이스 성질 표면을 가진 디바이스 층 및 디바이스 층의 물질과 상이한 결정 격자 구조를 갖는 기판을 포함하는 다층 구조들(multi-layered structures)이 수많은 상이한 목적들을 위해 유용하다. 이들 다층 구조들은 달라지는 격자 상수들을 갖는 물질로 된 다중 층을 전형적으로 포함한다. 층들 간의 격자 부정합(lattice mismatch)은 층들이 변형되도록 한다. 불일치 전위들(misfit dislocations)이 층들 간의 변형을 릴랙스하기 위해 디바이스 층에 자발적으로 형성될 수 있다. 그와 같은 전위들은 다중 층 반도체 구조의 품질과 유용성을 저하시킨다.Device Properties Multi-layered structures comprising a substrate having a crystal lattice structure that is different from the material of the device layer and the device layer with the surface are useful for a number of different purposes. These multilayer structures typically include multiple layers of material with varying lattice constants. Lattice mismatch between the layers allows the layers to deform. Misfit dislocations can be spontaneously formed in the device layer to relax deformation between the layers. Such potentials degrade the quality and usability of multilayer semiconductor structures.

격자 부정합된 반도체 층들 간의 변형을 릴랙스하기 위한 방법들과 실질적으로 전위들이 없는 기판들 및 디바이스 층들이 달성되는 방법들에 대한 지속적 필요가 존재한다.There is a continuing need for methods for relaxing deformation between lattice mismatched semiconductor layers and for methods in which substrates and device layers with substantially no dislocations are achieved.

본 개시의 한 양태는 기판, 기판 상에 배치되는 표면 층 및 기판과 표면 층 간의 계면을 포함하는 이종구조에서 변형을 릴랙스하기 위한 공정을 지향한다. 기판은 중앙 축, 중앙 축에 전반적으로 수직인 후면, 및 중앙 축을 통과하여 기판을 가로질러 연장하는 직경을 포함한다. 전위 소스 층(dislocation source layer)이 기판에 형성된다. 기판은, 전위를 발생하고 또한 전위 소스 층으로부터 표면 층을 향하여 전위들을 미끄러지게(glide) 하기 위해 방사상으로 팽창(distend)된다.One aspect of the present disclosure is directed to a process for relaxing deformation in a heterogeneous structure comprising a substrate, a surface layer disposed on the substrate, and an interface between the substrate and the surface layer. The substrate includes a central axis, a rear surface generally perpendicular to the central axis, and a diameter extending across the substrate through the central axis. A dislocation source layer is formed on the substrate. The substrate is radially distended to generate dislocations and to glide dislocations from the dislocation source layer toward the surface layer.

본 개시의 또 다른 양태는 릴랙스된 이종구조를 제조하기 위한 공정을 지향한다. 표면 층은 반도체 기판의 전면 상에 피착되고, 그에 의해 표면 층과 기판 간의 변형을 생성한다. 전위 소스 층이 기판에 형성된다. 표면 층과 기판에서의 변형은, 전위들(dislocations)을 발생하고 또한 전위 소스 층으로부터 표면 층을 향하여 전위들을 미끄러지게 하기 위해 기판을 방사상으로 팽창시킴으로써 릴랙스된다.Another aspect of the disclosure is directed to a process for making a relaxed heterologous structure. The surface layer is deposited on the front surface of the semiconductor substrate, thereby creating deformation between the surface layer and the substrate. A dislocation source layer is formed on the substrate. Deformation in the surface layer and the substrate is relaxed by radially expanding the substrate to generate dislocations and to slip the potentials from the dislocation source layer toward the surface layer.

본 개시의 또 다른 양태는 전면, 후면 및 원주 둘레(circumferential edge)를 갖는 반도체 구조를 방사상으로 팽창시키기 위한 장치를 지향한다. 장치는 구조의 원주 둘레에 인접하여 구조와 접촉하기 위한 최상부 판 및 후방 판을 포함하는 구조 홀더를 포함한다. 최상부 판은 구조의 전면과 접촉하도록 적응되고 후방 판은 구조의 후면과 접촉하기 위해 적응된다. 최상부 판 및 후방 판은 최상부 판, 후방 판 및 구조의 원주 둘레 간에 주위 챔버(peripheral chamber)를 형성하도록 추가로 적응된다.Another aspect of the present disclosure is directed to an apparatus for radially expanding semiconductor structures having front, back, and circumferential edges. The apparatus includes a structure holder including a top plate and a back plate for contacting a structure adjacent a circumference of the structure. The top plate is adapted to contact the front surface of the structure and the back plate is adapted to contact the back surface of the structure. The top and rear plates are further adapted to form a peripheral chamber around the circumference of the top plate, rear plate and structure.

본 개시의 또 다른 양태는 전면, 후면, 원주 둘레 및 중앙 축을 갖는 반도체 구조를 방사상으로 팽창시키기 위한 장치를 지향한다. 장치는 중앙 측에게 내측으로 포인팅하는 삼각형 모양 세그먼트들을 포함한다. 세그먼트들은 구조가 팽창하도록 야기하기 위해 중앙 축으로부터 외측으로 이동하도록 구성된다. 세그먼트와 구조 간의 진공을 형성하기 위한 유체 통로들이 각각의 세그먼트에 형성된다.Another aspect of the present disclosure is directed to an apparatus for radially expanding semiconductor structures having front, back, circumferential peripheries and a central axis. The device includes triangular shaped segments pointing inwardly to the central side. The segments are configured to move outwardly from the central axis to cause the structure to expand. Fluid passages are formed in each segment to form a vacuum between the segment and the structure.

도 1은 실리콘 이종구조의 개략 단면도이다;
도 2는 이종구조를 제조하기 위한 공정을 묘사하는 흐름도이다;
도 3-4는 반도체 구조 및 반도체 구조를 팽창시키기 위한 구조 홀더의 단면도들이다;
도 5는 반도체 구조 및 반도체 구조를 팽창시키기 위한 구조 홀더의 또 다른 실시예의 단면도들이다;
도 6-7은 그 위의 코팅 및 도 3의 구조 홀더를 갖는 반도체 구조의 단면도들이다;
도 8은 반도체 구조 및 이 구조를 그 가운데 탑재된 도 3의 구조 홀더에 의해 팽창시키기 위한 장치의 개략 단면도이다;
도 9는 반도체 구조 및 반도체 구조를 팽창시키기 위한 구조 홀더의 또 다른 실시예의 단면도들이다;
도 10은 반도체 구조 및 반도체 구조를 팽창시키기 위한 압착 판을 갖는 구조 홀더의 단면도이다;
도 11은 반도체 구조 및 반도체 구조를 팽창시키기 위한 구조 홀더의 또 다른 실시예의 단면도이다;
도 12는 반도체 구조 및 반도체 구조를 팽창시키기 위한 구조 홀더의 또 다른 실시예의 단면도이다;
도 13은 반도체 구조 및 반도체 구조를 팽창시키기 위한 압착 판을 갖는 구조 홀더의 단면도이다;
도 14는 복수의 반도체 구조 및 반도체 구조들을 팽창시키기 위한 구조 홀더의 단면도이다;
도 15는 복수의 반도체 구조 및 반도체 구조들을 팽창시키기 위한 구조 홀더의 또 다른 실시예의 단면도이다;
도 16은 반도체 구조를 팽창시키기 위한 구조 홀더의 또 다른 실시예의 평면도이다;
도 17은 반도체 구조 및 반도체 구조들을 팽창시키기 위한 구조 홀더의 또 다른 실시예의 단면도이다;
도 18은 그루브를 갖는 반도체 구조 및 반도체 구조를 팽창시키기 위한 구조 홀더의 또 다른 실시예의 단면도이다;
도 19는 반도체 구조 및 최상부 판을 가진 도 18의 구조 홀더의 단면도이다.
도 20은 두 개의 그루브를 갖는 반도체 구조 및 반도체 구조를 팽창시키기 위한 구조 홀더의 또 다른 실시예의 단면도이다;
도 21은 반도체 구조 및 플랜지를 갖는 구조 홀더의 또 다른 실시예의 단면도이다.
대응하는 참조 번호들은 도면 전체에 걸쳐서 대응하는 부분들을 표시한다.
Figure 1 is a schematic cross-sectional view of a silicon hetero structure;
Figure 2 is a flow diagram depicting a process for manufacturing a heterogeneous structure;
3-4 are cross-sectional views of a structure holder for inflating a semiconductor structure and a semiconductor structure;
5 is a cross-sectional view of another embodiment of a structure holder for inflating a semiconductor structure and a semiconductor structure;
Figures 6-7 are cross-sectional views of the semiconductor structure with the coating thereon and the structure holder of Figure 3;
8 is a schematic cross-sectional view of a semiconductor structure and an apparatus for expanding the structure by the structure holder of FIG. 3 mounted therebetween;
9 is a cross-sectional view of another embodiment of a structure holder for inflating a semiconductor structure and a semiconductor structure;
10 is a cross-sectional view of a structure holder having a semiconductor structure and a compression plate for expanding the semiconductor structure;
11 is a cross-sectional view of another embodiment of a structure holder for inflating a semiconductor structure and a semiconductor structure;
12 is a cross-sectional view of another embodiment of a structure holder for inflating a semiconductor structure and a semiconductor structure;
13 is a cross-sectional view of a structure holder having a semiconductor structure and a compression plate for expanding the semiconductor structure;
14 is a cross-sectional view of a structure holder for inflating a plurality of semiconductor structures and semiconductor structures;
15 is a cross-sectional view of another embodiment of a structure holder for inflating a plurality of semiconductor structures and semiconductor structures;
16 is a plan view of another embodiment of a structure holder for inflating a semiconductor structure;
17 is a cross-sectional view of another embodiment of a structure holder for inflating a semiconductor structure and semiconductor structures;
18 is a cross-sectional view of another embodiment of a structure holder with a groove and a semiconductor structure for expanding the semiconductor structure;
19 is a cross-sectional view of the structure holder of Fig. 18 with a semiconductor structure and a top plate.
20 is a cross-sectional view of another embodiment of a semiconductor structure having two grooves and a structure holder for inflating a semiconductor structure;
21 is a cross-sectional view of another embodiment of a structure holder having a semiconductor structure and a flange.
Corresponding reference numerals designate corresponding parts throughout the drawings.

본 개시의 하나 이상의 양태들에 따라서, 기판과 기판과는 상이한 격자 상수를 갖는 표면 층 사이의 감소된 변형을 가진 이종구조들은 도 2의 공정에 의해서 그런 것처럼 제조될 수 있다. 표면 층은 또한 "에피택셜 층", "헤테로에피택셜 층(heteroepitaxial layer)", "피착 막", “막", "헤테로 층(heterolayer)" 또는 "피착 층"으로서 본 명세서에서 지칭될 수 있다. 실질적으로 릴랙스된 표면 층을 가지며 또한 관통 전위들(threading dislocations)로도 불리는 불일치 전위들(misfit dislocations)의 감소된 농도를 달성하는 이종구조가 형성될 수 있다.According to one or more aspects of the present disclosure, heterogeneous structures having reduced deformation between surface layers having different lattice constants between the substrate and the substrate may be fabricated as such by the process of FIG. The surface layer can also be referred to herein as an "epitaxial layer", "heteroepitaxial layer", "deposited film", "film", "heterolayer" or " . A heterostructure having a substantially relaxed surface layer and also achieving a reduced concentration of misfit dislocations, also referred to as threading dislocations, can be formed.

일반적으로, 본 개시의 공정들은 반도체 기판에 전위 소스 층을 형성하는 단계, 전위 소스 층의 형성 전후에 기판 상에 헤테로 층을 피착하는 단계 및 전위들을 발생하고(즉, "활성화하고") 또한 전위 소스 층으로부터 표면 층을 향하여 전위들을 미끄러지게 하기 위해 이종구조를 방사상으로 팽창시키는 단계를 포함할 수 있다. 소스 층의 활성화 및 소스 층으로부터 피착 층과의 계면을 향하는 전위들의 미끄러짐은 변형력(예를 들어, 장력)을 기판에 가함으로써 병행적으로 발생한다. 이 변형력은 전위들을 활성화하고 미끄러지게 하기 위해 하나 이상의 단계들 및 다양한 조합들로 가해질 수 있으며, 그에 의해 이종구조를 소성적으로 스트레칭(plastically stretching)한다.Generally, the processes of the present disclosure include forming a dislocation source layer on a semiconductor substrate, depositing a hetero layer on the substrate before and after formation of the dislocation source layer, and generating (i.e., " And radially expanding the heterostructure to slip the potentials from the source layer toward the surface layer. The activation of the source layer and the slippage of dislocations from the source layer toward the interface with the deposited layer occur in parallel by applying strain (e.g., tension) to the substrate. This strain may be applied in one or more steps and various combinations to activate and slip the dislocations, thereby plastically stretching the heterogeneous structure.

헤테로 층은 기판의 표면 상에 막을 형성하기 위해, 기판의 본래 결정 격자 상수 aS와 다른 결정 격자 상수 aSI를 가질 수 있다. 일반적으로, 헤테로 층의 결정 격자 상수 aSI는 기판의 본래 결정 격자 상수 aS 보다 커서, 팽창에 의해 기판에서의 전위 루프(dislocation loop)들의 발생과 미끄러짐을 제어함으로써 기판이 소성적으로 응력 변형되고 또한 막의 결정 격자와 더 적절하게 정렬되고, 그에 의해 막이 완전히 릴랙스되고 또한 기판상에서 감소된 밀도의 관통 전위들을 갖도록 허용하게 된다.The hetero layer may have a crystal lattice constant a SI different from the original crystal lattice constant a S of the substrate to form a film on the surface of the substrate. In general, the crystal lattice constant a SI of the hetero layer is larger than the original crystal lattice constant a s of the substrate, and by controlling the generation and slippage of dislocation loops in the substrate by expansion, the substrate is subjected to a plastic stress deformation And is more appropriately aligned with the crystal lattice of the film thereby allowing the film to be completely relaxed and also to have reduced density of threading dislocations on the substrate.

본 개시의 방법들은 헤테로 층들을 릴랙스하는데 있어서 종래의 방법들을 능가하는 여러 장점들을 갖는다. 종래의 방법들은 막과 기판 간에 응력들에서의 큰 비대칭성을 생성하는데, 이는 응력들이 최대인 곳, 즉 막에서의 전위 발생으로 이어진다. 전위 루프들을 막에 한정하게 됨으로써, 전위들은 열화 관통 전위들의 역할을 하는 세그먼트들을 뒤에 남긴다. 그와 같은 관통 전위들의 밀도를 최소화하기를 시도하는 많은 노력들이 기울여졌다.The methods of the present disclosure have several advantages over conventional methods in relaxing the hetero layers. Conventional methods produce a large asymmetry in stresses between the membrane and the substrate, leading to the generation of dislocations in the membrane where the stresses are at a maximum. By limiting the dislocation loops to the membrane, the potentials leave behind segments that serve as the degradation threading potentials. Much effort has been devoted to attempting to minimize the density of such threaded dislocations.

대조적으로, 본 개시의 방법들은 (예를 들어, 기판을 약화(weakening)시키고 또한 기판을 약화시키는 동안 그 가운데에서 전위 발생을 피하기 위해 상대적으로 얇은 막을 이용함으로써) 전위 발생이 기판에서 생기도록 하면서 응력들의 비대칭성을 낳게 된다. 이것은 기판과 막 사이의 계면에 불일치 전위 층을 형성하는 동안 전위들이 기판에 한정되도록 허용한다. 다양한 제어된 방식들로 전위들을 도입함으로써 기판을 약화시키면, 외부 변형력(eternal stress)들이 전위들을 활성화하기 위해 시스템에 가해질 수 있다. 이것은 상대적으로 큰 고유의 내부 응력(internal stress)들로 인한 자가 릴랙세이션(즉, 외부 변형력들의 가해짐이 없는 릴랙세이션)을 낳는 종래 방법들과 다르다. 본 개시의 방법들은 상대적으로 얇은 막으로 적절한 온도들에서 약화 및 외부 변형력의 가함에 의해 자가 릴랙세이션에 의하는 것과는 다른 릴랙세이션을 수반하여, 자가 릴랙세이션이 발생하지 않도록 한다.In contrast, the methods of the present disclosure may be used to reduce stress (e.g., by weakening the substrate and by using a relatively thin film to avoid dislocations therein during the weakening of the substrate) Asymmetry. This allows the dislocations to be confined to the substrate during formation of the mismatch dislocation layer at the interface between the substrate and the film. By weakening the substrate by introducing dislocations in a variety of controlled manners, eternal stresses can be applied to the system to activate dislocations. This is different from conventional methods that result in self relaxation due to relatively large intrinsic internal stresses (i.e., relaxation without the application of external stresses). The methods of the present disclosure prevent relative self-relaxation from occurring due to relaxation and dissipation at appropriate temperatures with the addition of external strain, which is a relatively thin film, accompanied by relaxation other than by self-relaxation.

I. I. 반도체 기판Semiconductor substrate

도 1을 참조하면, 반도체 기판(1)은 CVD(chemical vapor deposition)에 의한 에피택셜 층의 피착에 의해서와 같은 표면 층을 지지하기 위한 기판으로 사용하는 데에 적합한 임의의 단결정 반도체 물질일 수 있다. 일반적으로, 반도체 기판은 실리콘, 탄화 실리콘, 사파이어, 게르마늄, 실리콘 게르마늄, 질화 갈륨, 질화 알루미늄, 갈륨 비소, 인듐 갈륨 비소 또는 이것들의 임의의 조합으로 이루어진 군으로부터 선택되는 물질로 구성될 수 있다. 전형적으로, 반도체 기판은 실리콘으로 구성된다.Referring to Figure 1, the semiconductor substrate 1 may be any single crystalline semiconductor material suitable for use as a substrate for supporting a surface layer, such as by deposition of an epitaxial layer by chemical vapor deposition (CVD) . In general, the semiconductor substrate may be comprised of a material selected from the group consisting of silicon, silicon carbide, sapphire, germanium, silicon germanium, gallium nitride, aluminum nitride, gallium arsenide, indium gallium arsenide or any combination thereof. Typically, the semiconductor substrate is comprised of silicon.

반도체 기판(1)은 이하에 보다 상세하게 설명되는 바와 같이 표면 층을 피착하기 위한 기판으로서의 용도 및 기판 물질에 변형력을 가하는 것의 두 가지에 적합한 임의의 형태를 가질 수 있다. 전형적으로, 반도체 기판은 중앙 축(2); 피착 층(7)과의 계면(3) 및 후면(4) - 여기서 기판-표면 층 계면(3) 및 후면(4)은 전반적으로 중앙 축(2)과 수직임 - ; 계면으로부터 기판의 후면까지의 거리에 대응하는 두께 t; 원주 둘레(5); 및 중앙 축을 통과하며 기판을 가로질러 연장하는 직경 D를 갖는다. 예시 목적을 위해, 후면(4)은 전위 소스 층이 형성될 곳에서의 또는 그 부근에서의 대향 면으로서 기술될 것이고 이와 같이 본 명세서에서 "대향 면(opposing surface)" 및/또는 "손상된 면"으로서 지칭될 수 있다는 것을 유의해야 한다. 이와 관련하여, 이하에 기술되는 이종구조 자체 및 피착 층(7)은 전반적으로 기판(1)과 동심원을 이루고, 또한 중앙 축(2); 원주 둘레(5); 및 이종구조(및 또한 표면 층)를 가로질러 그리고 중앙 축을 통하여 연장하는 직경 D를 갖는다.The semiconductor substrate 1 may have any form suitable for both as a substrate for depositing a surface layer and as applying strain to the substrate material as will be described in more detail below. Typically, the semiconductor substrate comprises a central axis 2; The interface 3 and the rear face 4 with the deposition layer 7 wherein the substrate-surface layer interface 3 and the rear face 4 are generally perpendicular to the central axis 2; A thickness t corresponding to the distance from the interface to the back surface of the substrate; Circumference 5; And a diameter D extending across the substrate passing through the central axis. For purposes of illustration, the backside 4 will be described as the opposing face at or near where the dislocation source layer is formed, and thus will be referred to herein as the "opposing surface" and / ≪ / RTI > In this regard, the heterogeneous structure itself and the deposition layer 7 described below are concentric with the substrate 1 as a whole, and also have a central axis 2; Circumference 5; And a diameter D extending across the heterogeneous structure (and also the surface layer) and through the central axis.

기판(1)은 반도체 층이 그 상에 피착될 기판으로 사용되기 위한 임의의 적절한 직경을 가질 수 있다. 일반적으로, 기판(1)은 약 150㎜ 이상의 직경을 갖는다. 전형적으로, 기판(1)은 약 200㎜ 이상, 약 300㎜ 이상 또는 심지어 약 450㎜ 이상의 직경을 갖는다. 기판 직경이 소성적으로 이종구조를 변형시키기 전의 직경일 수 있고, 그런 경우에 직경은 이하에서 더욱 상세히 논의되는 것처럼 소성 응 력 변형 후에 표명된 값들로부터 증가할 수 있다는 것을 유의해야 한다. 대안적으로, 소성 응력 변형 전의 기판은 표명된 값들보다 작은 직경을 가질 수 있어서 소성 응력 변형 후의 직경이 표명된 값들과 대략 동일하도록 될 수 있다.The substrate 1 may have any suitable diameter for use as a substrate on which the semiconductor layer is to be deposited. Generally, the substrate 1 has a diameter of about 150 mm or more. Typically, the substrate 1 has a diameter of at least about 200 mm, at least about 300 mm, or even at least about 450 mm. It should be noted that the substrate diameter may be diametrically before the heterogeneous structure is deformed and in such a case the diameter may increase from the values expressed after the firing stress deformation as discussed in more detail below. Alternatively, the substrate prior to the plastic stress relief may have a smaller diameter than the stated values so that the diameter after the plastic stress deformation is approximately equal to the stated values.

유사하게, 기판(1)은 반도체 층이 그 상에 피착될 수 있는 기판으로 사용하는 데에 적절한 임의의 두께, t를 가질 수 있다. 예를 들어, 기판은 약 500 미크론으로부터 약 1000 미크론까지의, 전형적으로 약 600 미크론으로부터 약 1000 미크론까지의, 약 700 미크론으로부터 약 1000 미크론까지의, 약 700 미크론으로부터 약 900 미크론까지의 또는 심지어 약 700 미크론으로부터 약 800 미크론까지의 두께, t를 가질 수 있다.Similarly, the substrate 1 may have any thickness, t, suitable for use as a substrate on which a semiconductor layer may be deposited. For example, the substrate may have a thickness of from about 500 microns to about 1000 microns, typically from about 600 microns to about 1000 microns, from about 700 microns to about 1000 microns, from about 700 microns to about 900 microns, or even about And may have a thickness, t, from 700 microns to about 800 microns.

몇몇 실시예들에서, 예를 들어, 기판(1)은 약 150㎜ 이상, 약 200㎜ 이상, 약 300㎜ 이상 또는 심지어 약 450㎜ 이상의 직경과 약 675 미크론으로부터 약 1000 미크론까지의 또는 심지어 약 725 미크론으로부터 약 925 미크론까지의 두께를 갖는, 초크랄스키 결정 성장 방법들에 의해 성장된 단결정 실리콘 잉곳으로부터 슬라이싱(slice)된 단결정 실리콘 웨이퍼일 수 있다.In some embodiments, for example, the substrate 1 may have a diameter of at least about 150 mm, at least about 200 mm, at least about 300 mm, or even at least about 450 mm, and a length of from about 675 microns to about 1000 microns, or even about 725 Crystal silicon wafer that has been sliced from a single crystal silicon ingot grown by Czochralski crystal growth methods with a thickness of from about 1 micron to about 925 microns.

에피택셜 층이 그 상에 피착된 기판 표면은 이것이 에피택셜 층을 피착하는데 적합하도록 연마 처리(polish)될 수 있거나 또는 CVD 전에 추가로 조절될 수 있다. 대향 면이 또한 연마 처리될 수 있거나 또는 대안적으로 본 개시의 범위에서 벗어나지 않고서 비 연마 처리될 수 있는데, 즉 연삭되는 것으로서(as-ground), 래핑되는 것으로서(as-lapped) 또는 래핑되고 에칭되는 것으로서 처리될 수 있다. 다양한 실시예들에서, 대향 면은 비 연마된 상태로 남겨질 수 있는데, 여기서 연삭되거나, 래핑되거나 또는 래핑되고 에칭되는 표면은 전위 소스 층으로서 활용될 수 있다. 대안적으로 또는 덧붙여, 대향 면은 손상되어 이하에 보다 상세하게 설명된 바와 같은 전위 소스 층을 형성할 수 있다.The substrate surface on which the epitaxial layer is deposited may be polished to conform to the deposition of the epitaxial layer or may be further controlled prior to CVD. The facing surfaces may also be polished or alternatively may be non-polished without departing from the scope of the present disclosure, i.e., as-ground, as-lapped, or lapped and etched ≪ / RTI > In various embodiments, the opposing surface may be left in a non-polished state, wherein a surface that is ground, ground, or wrapped and etched may be utilized as a dislocation source layer. Alternatively or additionally, the opposing face may be damaged to form a dislocation source layer as described in more detail below.

초크랄스키 성장된 실리콘이 전형적으로 약 5x1017 내지 약 9x1017 atoms/cm3(ASTM 표준 F-121-83)의 범위 내의 산소 농도를 갖는다는 것을 유의해야 한다. 일반적으로, 본 개시에서 기판에 사용되는 단결정 실리콘 웨이퍼는, 산소 농도가 전위의 활성화와 미끄러짐을 막을 만큼 그렇게 과도하지만 않다면, 초크랄스키 공정에 의해 전형적으로 획득 가능한 범위 내의 또는 심지어 그 범위를 벗어난 어떤 값이 되는 산소 농도를 가질 것이다.That a Czochralski grown silicon typically has an oxygen concentration within the range of about 5x10 17 to about 9x10 17 atoms / cm 3 (ASTM standard F-121-83) should be noted. In general, a monocrystalline silicon wafer used in a substrate in the present disclosure is a single crystal silicon wafer typically used within a range obtainable by the Czochralski process or even outside of that range, unless the oxygen concentration is so excessive as to prevent activation and slip of the dislocations. Will have a value of oxygen concentration.

II. II. 피착된Deposited 표면 층Surface layer

표면 층(7)은 기판(1)의 전면 상에 자리잡을 수 있다. 피착 층(7)은 CVD에 의해 에피택셜 층으로서 피착되는 데에 적합한 임의의 단결정 반도체 물질일 수 있다. 일반적으로, 헤테로 층은 기판의 본래 결정 격자 상수 aS 보다 큰 결정 격자 상수 aSI 를 포함한다. 피착된 층은 임의의 적합한 물질로 구성될 수 있는데, 몇몇 실시예들에서는 실리콘, 탄화 실리콘, 사파이어, 게르마늄, 실리콘 게르마늄, 질화 갈륨, 질화 알루미늄, 갈륨 비소, 인듐 갈륨 비소 또는 이것들의 임의의 조합으로 이루어진 군으로부터 선택되는 물질로 구성된다. 기판이 실리콘으로 구성되는 실시예들에서, 더 큰 격자 상수를 가진 헤테로 층들은 예를 들어 게르마늄, 실리콘 게르마늄, 탄화 실리콘의 폴리타이프들, 갈륨 비소 및 인듐 갈륨 비소를 포함한다.The surface layer 7 can be positioned on the front surface of the substrate 1. The deposition layer 7 may be any single crystal semiconductor material suitable for deposition as an epitaxial layer by CVD. Generally, the hetero layer comprises a crystal lattice constant a SI that is greater than the original crystal lattice constant a S of the substrate. The deposited layer may be comprised of any suitable material, including but not limited to silicon, silicon carbide, sapphire, germanium, silicon germanium, gallium nitride, aluminum nitride, gallium arsenide, indium gallium arsenide, or any combination thereof ≪ / RTI > In embodiments where the substrate is comprised of silicon, the hetero layers having a larger lattice constant include, for example, germanium, silicon germanium, polytypes of silicon carbide, gallium arsenide and indium gallium arsenide.

하나의 양호한 실시예에서, 피착된 층은 본 명세서에서 SiGe라고도 불리는 실리콘 게르마늄이다. 피착된 SiGe 층의 구체적 조성은 본 개시의 범위에서 벗어나지 않고서 변할 수 있다. 전형적으로, SiGe 층은 적어도 약 10% Ge를 포함하고, 몇몇 사례들에서는 약 15%, 약 20%, 약 25%, 약 35%, 약 50% Ge 또는 이를 넘는 (예로, 60%, 70%, 80%, 90% 또는 이를 넘는) Ge를 포함할 수 있다. 그러나, 하나의 양호한 실시예에서, SiGe 층은 적어도 약 10% 내지 약 50% 미만까지의, 또는 적어도 약 15% 내지 약 35% 미만까지의 범위의 Ge 농도를 갖는데, 약 20% Ge의 농도가 선호된다. In one preferred embodiment, the deposited layer is silicon germanium, also referred to herein as SiGe. The specific composition of the deposited SiGe layer may vary without departing from the scope of the present disclosure. Typically, the SiGe layer comprises at least about 10% Ge and in some instances about 15%, about 20%, about 25%, about 35%, about 50% Ge or more (e.g., 60% , 80%, 90%, or more) Ge. However, in one preferred embodiment, the SiGe layer has a Ge concentration ranging from at least about 10% to less than about 50%, or at least about 15% to less than about 35%, wherein a concentration of about 20% Ge Is preferred.

본질적으로 일반적으로 본 분야에 알려진 임의의 기술이 알려진 에피택셜 피착 기법 중 하나와 같이 피착 층(예로, SiGe 층)을 형성하는데 사용될 수 있다. 일반적으로 말하면, 피착 층의 두께는 본 개시의 범위에서 벗어나지 않고서 크게 변할 수 있다. 이 두께는 예를 들어 실질적으로 균일한 두께를 가질 수 있는데, 그것에 관한 평균 두께는 적어도 약 0.1 미크론, 적어도 약 0.5 미크론, 적어도 약 1.0 미크론, 및 심지어 적어도 약 2.0 미크론이다. 대안적으로, 두께를 범위의 관점에서 표현하는 것이 바람직할 수 있다. 예를 들어, 평균 두께는 전형적으로 약 0.1 미크론으로부터 약 2.0 미크론까지의 범위로서, 예를 들어 약 0.5 미크론으로부터 약 1.0 미크론까지일 수 있다.Any technique that is generally known in the art may be used to form a deposition layer (e.g., a SiGe layer), such as one of the known epitaxial deposition techniques. Generally speaking, the thickness of the deposited layer can vary widely without departing from the scope of the present disclosure. This thickness may, for example, have a substantially uniform thickness, with an average thickness of at least about 0.1 microns, at least about 0.5 microns, at least about 1.0 microns, and even at least about 2.0 microns. Alternatively, it may be desirable to express the thickness in terms of range. For example, the average thickness is typically in the range of from about 0.1 micron to about 2.0 microns, for example from about 0.5 microns to about 1.0 microns.

피착 층이 달라지는 격자 상수를 갖는 기판 상에 성장되므로, 동일한 그러나 정반대 응력(stress)이 피착 층과 기판 양쪽에 형성된다는 것을 유의해야 한다. 기판의 바로 위의 및 바로 밑에서의, 피착 층과 기판에서의 응력의 상대적 양은 피착 층과 기판의 상대적 두께들에 비례한다. 그 결과, 계면 바로 위에서의 피착 층에서의 응력은 계면의 바로 밑에서의 기판에서의 응력보다 몇 차수의 크기만큼 클 수 있다. 예를 들어, 약 22% Ge를 포함하는 500 nm SiGe 층이 700 미크론 두께의 실리콘 반도체 기판 상에서 성장되면, 계면 바로 위의 SiGe는 대략 1.7 GPa의 압착을 받을 것이고, 계면 바로 밑의 실리콘은 단지 5 MPa의 인장력을 받을 것이다. 피착 층에서의 응력은 층이 피착 층에서 불일치 또는 관통 전위들을 형성함으로써 자가 릴랙스할 때까지 성장 동안 증가할 수 있다. 따라서, 피착 층의 자가 릴랙세이션을 회피하기 위해, 적어도 초기에 기판 상에 얇은 피착 층을 성장시키는 것이 바람직하다. 전형적으로, 피착된 층은, SiGe 층이 단결정 실리콘 기판 상에 피착되는 경우에, 약 1 nm 내지 약 100 nm, 더 전형적으로는 약 1 nm 내지 약 50 nm, 더욱 전형적으로는 약 10 nm 내지 약 20 nm의 두께로 성장될 것이다. 얇은 층은 이후, 하기 더욱 상세히 논의되는 것처럼, 기판에서 전위들을 활성화하고 확장함으로써 그 본래 격자 상수에 또는 그 부근에 릴랙스되거나 부분적으로 릴랙스될 수 있다. 더 두꺼운 피착 층이 바람직하다면, 층이 충분히 릴랙스된 후에 추가 물질이 피착될 수 있다.It should be noted that since the deposited layer is grown on a substrate having a varying lattice constant, the same but opposite stresses are formed on both the deposited layer and the substrate. The relative amount of stress at the deposition layer and substrate directly above and below the substrate is proportional to the relative thicknesses of the deposition layer and the substrate. As a result, the stress in the deposited layer just above the interface can be larger by some orders of magnitude than the stress in the substrate just below the interface. For example, when a 500 nm SiGe layer containing about 22% Ge is grown on a 700-micron thick silicon semiconductor substrate, SiGe just above the interface will undergo a roughly 1.7 GPa squeeze, MPa tensile strength. The stress in the deposited layer can increase during growth until the layer self-relaxes by forming mismatches or threading dislocations in the deposited layer. Thus, in order to avoid self-relays of the deposited layer, it is desirable to at least initially grow a thin deposited layer on the substrate. Typically, the deposited layer will have a thickness of from about 1 nm to about 100 nm, more typically from about 1 nm to about 50 nm, more typically from about 10 nm to about 50 nm, more typically from about 1 nm to about 50 nm, when the SiGe layer is deposited on a monocrystalline silicon substrate 20 nm. ≪ / RTI > The thin layer can then be relaxed or partially relaxed at or near its original lattice constant by activating and extending dislocations in the substrate, as discussed in more detail below. If a thicker deposition layer is desired, additional material may be deposited after the layer is sufficiently relaxed.

본질적으로 일반적으로 본 분야에 알려진 임의의 기술이 기판 상에 피착 층을 형성하는데 사용될 수 있다. 예를 들어, 에피택셜 피착 기법(예를 들어, APCVD(atmospheric-pressure chemical vapor phase deposition)); LPCVD(low-or reduced-pressure CVD); UHVCVD(ultra-high-vacuum CVD); MBE(molecular beam epitaxy); 또는 ALD(atomic layer deposition))가 이용될 수 있는데, 여기서 예를 들어 SiGe 물질이 피착된다. 에피택셜 성장 시스템은 단일 웨이퍼 또는 다중 웨이퍼 배치 반응기(batch reactor)를 포함할 수 있다.Any technique that is generally inherently known in the art can be used to form a deposited layer on a substrate. For example, epitaxial deposition techniques (e.g., atmospheric-pressure chemical vapor phase deposition (APCVD)); Low-or reduced-pressure CVD (LPCVD); Ultra-high-vacuum CVD (UHVCVD); MBE (molecular beam epitaxy); Or atomic layer deposition (ALD)) may be used, where a SiGe material is deposited, for example. The epitaxial growth system may comprise a single wafer or multiple wafer batch reactors.

표면 층(7)은 이종구조의 전면(8)을 형성하는 표면을 포함한다. 표면 층(7)은 도 1에 도시된 바와 같이 기판(1)의 전체 직경을 가로지르며 연속적으로 연장할 수 있다. 몇몇 실시예들에서, 표면 층(7)은 기판(1) 위에서 연속적으로 연장하지 않고, 그보다는 이하에 추가로 기술되는 대로 기판 상에 배치되는 수많은 반도체 물질의 불연속 세그먼트들 또는 "아일랜드들(islands)"을 포함한다. 예를 들어, 표면 층은 기판의 약 95% 보다 작은 부분 위에 배치될 수 있거나, 또는 기타 실시예들에서와 같이 기판의 약 80% 보다 작은 부분 위에, 약 60% 보다 작은 부분 위에, 약 40% 보다 작은 부분 위에, 또는 약 20% 보다 작은 부분 위에 배치될 수 있다.The surface layer (7) comprises a surface forming the front surface (8) of the hetero structure. The surface layer 7 may extend continuously across the entire diameter of the substrate 1, as shown in Fig. In some embodiments, the surface layer 7 does not extend continuously over the substrate 1 but rather is formed of discrete segments or "islands " of a number of semiconductor materials disposed on the substrate as further described below. ) &Quot;. For example, the surface layer may be disposed on a portion that is less than about 95% of the substrate, or on a portion less than about 80% of the substrate, such as in other embodiments, less than about 40% On a smaller portion, or on a portion less than about 20%.

III. III. 전위 소스 층의 제조Preparation of Dislocation Source Layer

전위 소스 층(6)은 기판(1) 내에 자리잡고 또한 에피택셜 층이 그 상에 피착될 기판 표면으로부터 이격될 수 있다. 전형적으로, 전위 소스 층(6)은 에피택셜 층이 그 상에 피착되었거나 피착될 표면과 대향하는 표면에 또는 그 부근에 있다. 예를 들어, 에피택셜 층이 기판의 전면에 피착될 것이라면, 전위 소스 층(6)은 기판의 후면(4)에 또는 그 부근에 있을 것이다. 그러한 예에서, 기판의 전면은 기판과 피착 층(7) 사이의 계면이 될 것이다. The dislocation source layer 6 may be located within the substrate 1 and may also be spaced from the substrate surface on which the epitaxial layer is to be deposited. Typically, the dislocation source layer 6 is at or near a surface on which the epitaxial layer is deposited or is opposed to the surface to be deposited. For example, if the epitaxial layer is to be deposited on the front side of the substrate, the potential source layer 6 will be at or near the backside 4 of the substrate. In such an example, the front side of the substrate will be the interface between the substrate and the deposition layer 7.

소스 층(6)은 기판(1)의 실질적 방사상 폭 위에 존재하거나 그 위에 설치된다. 도 1 에 예시된 실시예에서, 소스 층(6)은 기판(1)의 전체 직경을 가로지르며 연장한다. 이 실시예가 선호되기는 하지만, 기타 실시예들에서 소스 층은 전체 직경을 가로지르며 연장하지 않을 수 있다. 그러므로, 일반적으로, 소스 층(6)은 웨이퍼 반경의 전형적으로 적어도 약 75%, 보다 전형적으로 적어도 약 85% 및 더욱 보다 전형적으로 약 95% 또는 웨이퍼의 반경의 심지어 적어도 약 99%의 반경 폭을 가질 것이다. 몇몇 실시예들에서, 소스 층(6)은 원주 둘레의 몇 밀리미터 이내로, 예를 들어 원주 둘레의 약 1 ㎜ 이내로 연장한다.The source layer 6 is present above or above the substantially radial width of the substrate 1. [ In the embodiment illustrated in FIG. 1, the source layer 6 extends across the entire diameter of the substrate 1. Although this embodiment is preferred, in other embodiments the source layer may not extend across the entire diameter. Thus, generally, the source layer 6 has a radial width of typically at least about 75%, more typically at least about 85%, and even more typically at least about 95%, or even at least about 99% of the radius of the wafer . In some embodiments, the source layer 6 extends within a few millimeters around the circumference, for example, within about 1 millimeter around the circumference.

일반적으로, 소스 층(6)은 소스 층이 에피택셜 층이 그 상에 피착될 표면을 포함하지 않는다면 기판의 임의의 부분을 포함할 수 있다. 일반적으로 소스 층(6)은 약 100 미크론 이하, 약 50 미크론 이하, 약 25 미크론 이하 또는 약 10 미크론 이하(예로, 약 1 미크론으로부터 약 100 미크론까지, 약 1 미크론으로부터 약 50 미크론까지, 약 1 미크론으로부터 약 25 미크론까지 또는 약 5 미크론으로부터 약 25 미크론까지)의 두께를 가진다. 소스 층(6)은 기판의 후면을 포함하고 거기서부터 연장할 수 있다. 소스 층(6)이 웨이퍼의 후면을 포함할 필요는 없고, 후면으로부터 기판의 전면을 향하는 소정 깊이를 가지고 연장할 수 있다는 것을 유의해야 한다.In general, the source layer 6 may comprise any portion of the substrate, as long as the source layer does not include a surface to be deposited thereon the epitaxial layer. Generally, the source layer 6 has a thickness of about 100 microns or less, about 50 microns or less, about 25 microns or less or about 10 microns or less (e.g., about 1 micron to about 100 microns, about 1 micron to about 50 microns, Microns to about 25 microns, or from about 5 microns to about 25 microns). The source layer 6 comprises the backside of the substrate and can extend therefrom. It should be noted that the source layer 6 need not include the backside of the wafer, but may extend from the backside to a predetermined depth toward the front side of the substrate.

전위 소스 층(6)은 충분히 높은 온도들에서 충분히 높은 변형력들을 받을 때 측정 가능한 농도의 전위들을 발생할 수 있는 임의의 층일 수 있다. 일반적으로, 전위 소스 층(6)은, 기판 내에서의 전위들의 활성화에 관해서 이하 더욱 상세히 논의되는 것처럼 약 5 MPa와 약 100 MPa 사이의 (전형적으로 약 500℃와 약 1000℃ 사이의 온도들에서 약 15 MPa 정도로) 인장력을 받을 때 측정 가능한 농도의 전위들을 발생할 수 있다.The dislocation source layer 6 may be any layer capable of producing measurable concentrations of potentials when it is subjected to sufficiently high stresses at sufficiently high temperatures. In general, the dislocation source layer 6 is formed at a temperature of between about 5 MPa and about 100 MPa (typically at temperatures between about 500 DEG C and about 1000 DEG C, as discussed in more detail below with respect to activation of dislocations in the substrate Lt; RTI ID = 0.0 > 15 MPa). ≪ / RTI >

전위 소스 층(6)은 표면 층(7)의 피착 전에 또는 그에 후속하여 기판(1)에 형성될 수 있다. 기판이 단결정 잉곳으로부터 슬라이싱된 웨이퍼인 실시예들에서, 전위 소스 층(6)은 전체적 웨이퍼링 공정의 일부로 포함되는 슬라이싱 공정, 연삭(grinding) 공정 또는 래핑(lapping) 공정에 의해 초래되는 기계적 손상일 수 있다.The dislocation source layer 6 may be formed on the substrate 1 before or after deposition of the surface layer 7. In embodiments where the substrate is a sliced wafer from a single crystal ingot, the potential source layer 6 may be a mechanical damage caused by a slicing process, a grinding process, or a lapping process included as part of the overall wafer ring process. have.

대안적으로 또는 덧붙여, 전위 소스 층(6)은 하기의 것으로 구성되는 그룹에서 선택된 하나 이상의 공정들에 의해 기판의 후면을 기계적으로 손상시킴으로써 부분적으로 또는 그 전체가 형성될 수 있다: 후면 연삭, 후면 래핑, 후면에 모래분사(sandblast)함으로써 부드러운 손상 설치하기, 후면 상에 인덴테이션(indentation)들을 형성하기, 후면에 이온들을 주입하기, 및/또는 이것들의 조합들.Alternatively or additionally, the dislocation source layer 6 may be partially or wholly formed by mechanically damaging the backside of the substrate by one or more processes selected from the group consisting of: back grinding, backside Forming soft indentations by lapping, sandblasting back, forming indentations on the backside, implanting ions on the backside, and / or combinations thereof.

몇몇 실시예들에서, 전위 소스 층(6)은 후면에 인덴테이션들을 형성하기 위해 웨이퍼 후면 상으로 포인팅된 핀들(pointed pins)의 어레이를 누름으로써 형성될 수 있다. 인덴테이션들은 표면에 걸쳐서 비 균일하게 형성될 수 있거나 미리 정해진 패턴으로 형성될 수 있다. 그러한 패턴은 웨이퍼 결정 방향들에 대한 특정한 관계로 배열될 수 있다. 예를 들어, 정방 행렬 패턴은 110 방향에 대해 얕은 각도로 배열될 수 있다. 이것은 이들 부위(site)들에서 발생되는 전위들이 평행 글라이드 평면들을 따라 미끄러지고 또한 서로 상호 작용하지 않도록 허용한다. 게다가, 그런 처리는 전위 루프 밀도의 정밀 제어를 가질 수 있다.In some embodiments, the dislocation source layer 6 may be formed by pressing an array of pointed pins onto the backside of the wafer to form indentations on the backside. The indentations may be formed non-uniformly across the surface or may be formed in a predetermined pattern. Such a pattern can be arranged in a specific relationship to the wafer crystal directions. For example, the square matrix pattern may be arranged at a shallow angle with respect to the 110 direction. This allows the potentials generated at these sites to slip along the parallel Glide planes and not interact with each other. In addition, such processing can have precise control of the dislocation loop density.

몇몇 실시예들에서, 소스 층(6)은 기판의 후면을 통하여 이온을 주입함으로써 형성될 수 있다. 주입된 이온들은 기판의 전자적 특성들에 대한 어떠한 효과도 최소화하기 위해 전기적으로 등전자, 중성 또는 불활성일 수 있다. 예를 들어, 주입된 이온들은 실리콘, 게르마늄, 수소, 헬륨, 네온, 아르곤, 크세논, 및 이것들의 조합들로 구성되는 그룹에서 선택될 수 있다.In some embodiments, the source layer 6 may be formed by implanting ions through the backside of the substrate. The implanted ions may be electronically neutral, neutral, or inert to minimize any effect on the electronic properties of the substrate. For example, implanted ions may be selected from the group consisting of silicon, germanium, hydrogen, helium, neon, argon, xenon, and combinations thereof.

이온들은 후면에 상대적으로 타깃 깊이, Di 로 주입된다. 그러나, 실제 문제로, 주입된 이온들 중 일부는 이 거리만큼 이동하지 않을 것이고, 다른 것들은 심지어 더 큰 거리를 이동할 것이다(즉, 후면에 상대적으로 더 큰 깊이에 도달함). 실제 이온 주입 깊이는 약 5%, 10%, 15%, 20%, 25% 이상만큼 Di로부터 변할 수 있다. 이것은 주입된 이온들의 농도가, 전면(3) 방향으로 및 정반대 방향으로 Di로부터 감소하면서, Di 의 또는 그 부근의 주입된 이온들의 상대적으로 높은 농도를 포함하는 비정질 물질 구역 또는 층을 생성한다. 타깃 깊이, Di는 또한 주입된 이온들의 투사 범위(projected range)로서 지칭될 수 있다.The ions are implanted at the target depth, D i , relatively to the backside. However, as a practical matter, some of the implanted ions will not travel this distance, and others will travel even larger distances (i. E., Reaching a relatively larger depth at the rear). The actual depth of ion implantation can vary from D i by about 5%, 10%, 15%, 20%, 25% or more. This produces an amorphous material zone or layer containing a relatively high concentration of implanted ions at or near D i , while the concentration of implanted ions decreases from D i in the front and back direction (3) . The target depth, D i, may also be referred to as the projected range of implanted ions.

더 가벼운 이온들은 주어진 주입 에너지에 대해 기판 내로 더 깊이 침투하는 경향이 있기 때문에, 주입 깊이는 주입된 이온 종들에 의해 적어도 부분적으로 영향을 받을 수 있다. 그러므로, 예를 들어, 50 keV의 주입 에너지에서, 실리콘 이온들은 약 750 Å의 평균 주입 깊이를 가질 것인 반면에, 게르마늄 이온들은 400 Å의 평균 주입 깊이를 가질 것이다. 일반적으로, 이온들은 바람직하게는 적어도 약 30 keV의 에너지로, 예를 들어 적어도 약 40 keV 또는 심지어 적어도 약 50 keV로 주입된다. 한 응용에서, 이온들은 적어도 약 45 keV 및 약 55 KeV 미만의 에너지로 주입된다. 선택된 이온과 주입 에너지는 전위 소스 층의 역할을 하는 기판에서의 비정질 층을 형성하기에 충분하여야 한다.Since lighter ions tend to penetrate deeper into the substrate for a given implant energy, the implant depth can be at least partially influenced by implanted ion species. Thus, for example, at an implant energy of 50 keV, the silicon ions will have an average implantation depth of about 750 A, while the germanium ions will have an average implantation depth of 400 A. In general, the ions are preferably implanted with an energy of at least about 30 keV, for example at least about 40 keV or even at least about 50 keV. In one application, the ions are implanted with an energy of at least about 45 keV and less than about 55 KeV. The selected ions and implantation energy should be sufficient to form an amorphous layer in the substrate that serves as the dislocation source layer.

일반적으로, 전위 루프들은, 비정질 실리콘 층을 형성하기 위해 충분한 농도의 이온들을 주입시키는데 충분한 에너지가 사용되면, 후속 어닐링 시에 주입된 이온들의 범위 끝에서 형성된다. 전형적으로, 전위 루프들은 주입된 이온들 아래로 약 100 ÅA 내지 약 300 ÅA의 깊이에 형성될 수 있는데, 정확한 깊이는 다소간 차이가 있을 수 있다. 일반적으로, 더 작은 질량의 원소들을 이용하면 비정질 물질을 형성하기가 더 어렵다. 따라서, 더 작은 질량의 원소들의 훨씬 더 큰 농도가 충분한 손상을 유발하기 위해 이용되어야만 하는 반면에, 더 큰 질량의 원소들의 더 작은 농도가 비정질 실리콘을 형성하기에 충분하다. 예를 들어, 주입된 이온들이 실리콘 이온들일 때, 주입된 선량(dose)은 바람직하게는 적어도 약 2 x 1014 atoms/cm2, 예를 들어 적어도 약 5 x 1014 atoms/cm2 또는 심지어 적어도 약 1 x 1015이다. 한 가지 양호한 실시예에서, 주입된 이온 선량은 적어도 약 2 x 1015 atoms/ cm2이다. 비교해 보면, 주입된 이온들이 더 큰 질량의 게르마늄 이온들일 때, 주입된 선량은 바람직하게는 적어도 약 6 x 1013 atoms/cm2, 적어도 약 1 x 1014 atoms/cm2 또는 심지어 적어도 약 5 x 1014 atoms/cm2이다. 한 가지 양호한 실시예에서, 주입된 이온 선량은 적어도 약 1 x 1015 atoms/cm2이다.Generally, dislocation loops are formed at the end of the range of implanted ions during subsequent annealing, provided that sufficient energy is used to implant ions of sufficient concentration to form the amorphous silicon layer. Typically, dislocation loops can be formed below the implanted ions at a depth of about 100 A to about 300 A, although the exact depth may be somewhat different. In general, it is more difficult to form amorphous materials with smaller masses of elements. Thus, a much higher concentration of elements of a lower mass should be used to cause sufficient damage, while a lower concentration of elements of a higher mass is sufficient to form amorphous silicon. For example, when the implanted ions are silicon ions, the implanted dose is preferably at least about 2 x 10 14 atoms / cm 2 , such as at least about 5 x 10 14 atoms / cm 2, or even at least about About 1 x 10 < 15 & gt ;. In one preferred embodiment, the dose of ion dose implanted is at least about 2 x 10 15 atoms / cm 2 . In comparison, when the implanted ions are germanium ions of larger mass, the injected dose is preferably at least about 6 x 10 13 atoms / cm 2 , at least about 1 x 10 14 atoms / cm 2, or even at least about 5 x 10 14 atoms / cm 2 . In one preferred embodiment, the dose of ion dose implanted is at least about 1 x 10 15 atoms / cm 2 .

몇몇 양호한 실시예들에서, 소스 층(6)은 기판의 후면을 연삭함으로써 형성된다. 표면은 초크랄스키 성장된 단결정 실리콘 잉곳으로부터 슬라이싱된 후에 실리콘 웨이퍼의 표면의 형태를 만들기 위해 전형적으로 반도체 실리콘 산업계에서 사용되는 임의의 연삭 공정들을 이용하여 연삭될 수 있다. 특히 양호한 실시예에서, 후면은 약 600의 그리트 사이즈(grit size)를 이용하는 연삭 공정을 이용하여 연삭될 수 있다.In some preferred embodiments, the source layer 6 is formed by grinding the back surface of the substrate. The surface can be ground using any grinding processes typically used in the semiconductor silicon industry to create the shape of the surface of the silicon wafer after being sliced from the Czochralski grown single crystal silicon ingot. In a particularly preferred embodiment, the backside can be ground using a grinding process utilizing a grit size of about 600.

IV.  IV. 전위들의 활성화 및 미끄러짐Activation and Slipping of Dislocations

전위 소스 층은 소스 층에 또는 그 부근에 전위들을 형성하기 위해 활성화될 수 있는데, 이 전위들은 기판-표면 층 계면을 향하여 미끄러질 수 있다. 본 개시의 실시예들에 따라서, 전위들의 활성화 및 미끄러짐은 표면 층이 기판 상에 피착된 후에 실행되어 기판 및/또는 표면 층이 변형을 받도록 한다.The dislocation source layer may be activated to form dislocations at or near the source layer, which may slide toward the substrate-surface layer interface. According to embodiments of the present disclosure, activation and slippage of dislocations are performed after the surface layer is deposited on the substrate such that the substrate and / or surface layer undergo deformation.

전위 소스 층은, 전위들의 형성을 야기하기 위해 상승된 온도에서 기판을 팽창(이는 본 명세서에서 "스트레칭", "인장(tension)" 또는 "인장 변형"으로도 지칭될 수 있음)시킴으로써 전위 소스 층(및 전형적으로는 기판)이 변형력을 받게 함으로써 활성화된다. 팽창은 하나 이상의 적절한 장치들을 이용하여 축에 수직한 방향으로, 즉 방사상 방향으로 전체 기판에 가해진다. 즉, 웨이퍼는 주변 둘레로부터 외측으로 방사상으로 스트레칭된다. 이런 방식으로, 전위들은 소스 층에 또는 그 부근에 형성될 것이고 또한 전위들은 대향 면을 향하여 미끄러질 것이다.The dislocation source layer may be formed by exposing the substrate at elevated temperatures (which may also be referred to herein as "stretching", "tension" or "tensile strain") to cause formation of dislocations, (And typically the substrate) is subjected to a deformation force. The expansion is applied to the entire substrate in a direction perpendicular to the axis, i.e. in a radial direction, using one or more suitable devices. That is, the wafer is stretched radially outward from the perimeter circumference. In this way, the dislocations will be formed at or near the source layer and the dislocations will slip toward the opposite surface.

일반적으로, 더 심하게 손상된 전위 소스 층들은 더 낮은 응력 레벨들과 더 낮은 온도들에서 활성화될 것인 반면에, 덜 심하게 손상된 전위 소스 층들은 더 높은 응력 레벨들과 온도들에 활성화될 것이다. 일반적으로, 적어도 약 5 MPa의, 전형적으로는 약 5 MPa 내지 약 100 MPa 또는 약 10 MPa 내지 약 100 MPa의 인장력에 의해 가해지는 변형력은 약 550℃와 약 1000℃ 간의 온도에서 전위 소스 층에 가해진다. 보다 전형적으로, 인장력은 약 10 MPa 내지 약 50 MPa 또는 약 10 MPa 내지 약 25 MPa이다. 전형적으로, 전위들의 활성화 및/또는 미끄러짐은 약 650℃ 내지 약 1000℃ 또는 심지어 약 700℃ 내지 약 1000℃의 온도들에서 수행된다. 예를 들어, 래핑 및/또는 연삭에 의해 형성되는 전위 소스 층을 활성화하기 위해 가해질 수 있는 전형적 응력들은 약 600℃보다 더 높은 온도들에서 및 심지어 더 전형적으로 약 700℃보다 더 높은 온도들에서 약 15 MPa일 수 있다. 이외에, 더 크게 손상된 층들은 심지어 더 낮은 응력 레벨들에서 활성화될 수 있다.In general, less severely damaged dislocation source layers will be activated at lower stress levels and lower temperatures while less severely damaged dislocation source layers will be activated at higher stress levels and temperatures. Generally, a strain force applied by a tensile force of at least about 5 MPa, typically from about 5 MPa to about 100 MPa, or from about 10 MPa to about 100 MPa, is applied to the dislocation source layer at a temperature between about 550 DEG C and about 1000 DEG C All. More typically, the tensile force is from about 10 MPa to about 50 MPa or from about 10 MPa to about 25 MPa. Typically, activation and / or slippage of dislocations is performed at temperatures of from about 650 ° C to about 1000 ° C, or even from about 700 ° C to about 1000 ° C. Typical stresses that may be applied to activate the dislocation source layer formed by, for example, lapping and / or grinding, are those at temperatures higher than about 600 ° C and even more typically at temperatures higher than about 700 ° C 15 MPa. In addition, the more severely damaged layers can be activated even at lower stress levels.

기판은 전위들을 활성화하고 미끄러지게 하는 데에 충분한 지속 시간 동안 상승된 온도에서 인장력을 받도록 유지된다. 일반적으로, 기판은 적어도 약 10 초의 기간 동안 전술한 바와 같이 인장력을 받으며 상승된 온도에서 유지되고 그리고 적어도 약 5 시간, 적어도 약 10시간 또는 심지어 더 오랫동안 그런 조건들 하에서 유지될 수 있다. 전형적으로, 기판은 적어도 약 1 분의 기간 동안, 약 5 분 내지 약 60 분, 보다 전형적으로 약 10 분 내지 약 45 분의 기간 동안 상승된 온도에서 인장력을 받으며 유지되고 및 몇몇 실시예들에서는 약 10 분 내지 약 20 분일 수 있다. 더 높은 인장력 레벨들과 더 높은 온도들 각각은 전위들을 활성화하고 미끄러지게 하는 데에 요구되는 지속 시간을 감소시키는 경향이 있다는 것을 유의해야 한다.The substrate is maintained to undergo tensile forces at elevated temperatures for a duration sufficient to activate and slip the dislocations. In general, the substrate may be held under elevated temperature under tension and maintained for at least about 5 hours, at least about 10 hours, or even longer, under such conditions for a period of at least about 10 seconds, as described above. Typically, the substrate is subjected to a tensile force at an elevated temperature for a period of at least about 1 minute, for a period of from about 5 minutes to about 60 minutes, more typically from about 10 minutes to about 45 minutes, and in some embodiments, 10 minutes to about 20 minutes. It should be noted that the higher tensile force levels and higher temperatures each tend to reduce the duration required to activate and slip the dislocations.

팽창은 기판에만 홀로 가해질 수 있거나, 또는 기타 실시예들에서와 같이 전체 이종구조(즉, 기판과 헤테로 층 모두)에 가해질 수 있다. 또한, 팽창에 의해 가해지는 변형력은 이종구조 전체에 걸쳐서 (예를 들어, 방사상으로 및 원주상으로 모두) 상대적으로 (방향 및/또는 크기에서) 균일한 것이 선호된다. 변형력의 균일도가 기판을 팽창시키는데 사용되는 장치에 의해 제한될 수 있고 일부 변동(방사상 또는 원주상의 변동)이 고르지 않은 변형력 분포로부터 초래될 수 있다는 것을 유의해야 한다. 몇몇 실시예들에서, 적어도 약 5 MPa의 변형력이 기판의 전체 원주를 따라 가해지거나, 또는 기타 실시예들에서와 같이 적어도 약 10 MPa의 변형력이 기판의 전체 원주를 따라 가해진다.The expansion may be applied to the substrate alone, or it may be applied to the entire heterogeneous structure (i. E., Both the substrate and the hetero layer) as in other embodiments. It is also preferred that the strain forces exerted by the expansion are relatively uniform (in direction and / or size) across the heterogeneous structure (e.g. both radially and circumferentially). It should be noted that the uniformity of the deformation force can be limited by the apparatus used to inflate the substrate and some variations (radial or circumferential variations) can result from uneven stress distribution. In some embodiments, a strain of at least about 5 MPa is applied along the entire circumference of the substrate, or a strain of at least about 10 MPa, as in other embodiments, is applied along the entire circumference of the substrate.

충분한 변형력이 가해질 시에, 전위들이 전위 소스 층에서 연속적으로 형성되고 기판-표면 층 계면을 향하여 미끄러진다. 변형력이 가해질 동안의 주어진 시점에서, 전위들은 일반적으로 기판의 두께 전반에 걸쳐 균일하게 분포될 수 있다. 기판-표면 층 계면에 도달할 시에, 전위들은 계면에서 불일치 계면 전위들(misfit interfacial dislocations)을 형성한다. 불일치 전위들은 기판 팽창 동안 계면에서 밀도가 증가하고, 표면 층과 기판 사이의 변형을 릴랙스하기를 계속한다. 변형은 결국 충분한 밀도의 불일치(misfit)들이 쌓이면 균형화된다.When sufficient strain is applied, dislocations are continuously formed in the dislocation source layer and slid toward the substrate-surface layer interface. At a given point in time during which strain is applied, the dislocations can generally be evenly distributed throughout the thickness of the substrate. Upon reaching the substrate-surface layer interface, dislocations form misfit interfacial dislocations at the interface. The mismatch dislocations increase in density at the interface during substrate expansion and continue to relax deformation between the surface layer and the substrate. Deformation eventually balances when enough density of misfits accumulates.

전위 소스 층에서 발생되고 또한 기판-표면 층 계면을 향하여 미끄러지는 전위들은 실질적으로 이종구조의 후면 및 전면과 평행하다(즉, 횡으로 배열된다). 상대적으로 소량의 관통 전위가 전위 소스 층에서 발생되거나 또는 심지어 어떤 관통 전위들도 전위 소스 층에서 발생되지 않는다고 여겨진다.The dislocations generated in the dislocation source layer and also sliding towards the substrate-surface layer interface are substantially parallel (i.e., transversely aligned) to the back and front of the heterogeneous structure. It is believed that a relatively small amount of threading dislocations are generated in the dislocation source layer or even no threading dislocations are generated in the dislocation source layer.

기판 팽창은, 전위들의 추가적 발생 및 미끄러짐이 전위들이 표면 층에 침투하도록 야기할 수 있음에 따라 변형이 균형화되는 시점에서 또는 그 부근에서 중단되는 것이 선호된다. 일단 기판 팽창이 중단되면, 기판에서 이동(transit) 중에 있는 전위들은 계면에게 미끄러지는 것을 중단하며 어떤 추가적 전위들도 발생되지 않는다(즉, 전위들은 동결된다).It is preferred that the substrate expansion be stopped at or near the point at which the deformation is balanced as further generation and slippage of the dislocations can cause the dislocations to penetrate the surface layer. Once the substrate expansion ceases, the potentials in transit on the substrate cease to slip at the interface and no additional potentials are generated (i.e., the potentials are frozen).

변형력과 열이 가해지는 임의의 주어진 시점에 기판에 존재할 수 있는 전위들의 개수는 적어도 약 1x105 전위들/cm2 또는 심지어 적어도 약 5x105 전위들/cm2일 수 있다(예를 들어, 약 1x105 전위들/cm2 내지 5x107 전위들/cm2, 또는 약 5x105 전위들/cm2 내지 약 1x107 전위들/cm2). 전위들의 개수 밀도는, 예를 들어 기판을 샘플링하고 및 현미경을 통하여 전위 루프들을 관찰하고 계수하기 전에 샘플을 윤곽 형성 식각제(delineating etchant)에 담는 것을 포함하는 임의의 전위 루프 검출 방법을 이용하여 결정될 수 있다.The number of potentials that may be present in the substrate at any given point in time at which strain and heat are applied may be at least about 1 x 10 5 dislocations / cm 2 or even at least about 5 x 10 5 dislocations / cm 2 (e.g., 5 dislocations / cm 2 to 5 x 10 7 dislocations / cm 2 , or about 5 × 10 5 dislocations / cm 2 to about 1 × 10 7 dislocations / cm 2 ). The number density of dislocations is determined using any potential loop detection method, including, for example, sampling a substrate and placing the sample in a delineating etchant before observing and counting dislocation loops through a microscope .

몇몇 실시예들에서, 기판 팽창에 의해 기판에 가해지는 변형력은, 전위들이 전위 소스 층으로부터 발생되는 임계 값보다 작은 값이지만 기존 전위들이 계면을 향하여 추가로 미끄러지도록 허용하는 데에 충분한 크기의 값으로 감소된다. 이런 방식으로, 실질적으로 전위들이 없는 기판을 갖는 이종구조가 산출될 수 있다. 그와 같은 실시예들에서, 초기 변형력 S1은, 소스 층으로부터 기판-표면 층 계면까지 전위들을 발생하고 미끄러지게 하기 위해 기판 팽창에 의해 기판에 가해질 수 있다. 가해진 변형력은 이후 S2까지 낮추어진다(즉, S2는 S1 미만이다). 변형력 S2는, 전위들이 전위 소스 층에서 발생되고 또한 기존 전위들이 실질적으로 전위들이 없는 기판을 산출하기 위해 계면을 향하여 추가로 위쪽으로 미끄러지도록 허용하는 임계 값 미만인 변형력이다. S1은 적어도 약 5 MPa, 적어도 약 10 MPa 또는 적어도 약 25 MPa일 수 있다(예를 들어, 약 5 MPa 내지 약 100 MPa, 또는 약 10 MPa 내지 약 100 MPa). S2는 약 10 MPa 미만, 약 5 MPa 미만 또는 심지어 약 1 MPa 미만일 수 있다. 전형적으로, 약 1 MPa 크기 정도의 변형력들에서도, 전위들은 약 850℃의 온도에서 초당 약 1 미크론의 속도로 또는 약 900℃의 온도에서 초당 약 2.5 미크론의 속도로 미끄러질 것이다.In some embodiments, the deformation force exerted on the substrate by substrate expansion is a value that is of sufficient magnitude to allow existing potentials to slip further toward the interface . In this way, a heterostructure having a substrate substantially free of dislocations can be calculated. In such embodiments, the initial strain S 1 may be applied to the substrate by substrate expansion to generate and slip dislocations from the source layer to the substrate-surface layer interface. The applied strain is then lowered to S 2 (i.e., S 2 is less than S 1 ). The strain S 2 is a stress that is below a threshold that allows the potentials to be generated in the potential source layer and also to slip further upward toward the interface to produce a substrate in which the existing potentials are substantially free of potentials. S 1 may be at least about 5 MPa, at least about 10 MPa, or at least about 25 MPa (e.g., from about 5 MPa to about 100 MPa, or from about 10 MPa to about 100 MPa). S 2 may be less than about 10 MPa, less than about 5 MPa, or even less than about 1 MPa. Typically, the displacements will also slip at a rate of about 1 micron per second at a temperature of about 850 占 폚 or at a rate of about 2.5 microns per second at a temperature of about 900 占 폚, even at a strain of about 1 MPa.

변형력의 크기, 변형력을 가하는 시간 및/또는 변형력이 기판에 가해질 때의 온도는 기판의 격자 상수 aS와 표면 층의 반도체 물질의 격자 상수 aSL 간의 차이에 좌우되어 변할 수 있다. 선택된 기판 물질과 그 상에 피착된 반도체 물질에 좌우되어, aSL과 aS는 변할 수 있다. 일반적으로, aSL이 aS 보다 클 때, 즉 aSL/aS 비가 1 보다 클 때 헤테로 층을 릴랙스시키는 데에 팽창이 효과적이다. aSL/aS 비가 약 1.01부터 약 1.16까지일 수 있고, 다른 실시예들에서 약 1.01부터 약 1.10까지, 약 1.01부터 약 1.08까지, 약 1.01부터 약 1.05까지, 약 1.02부터 약 1.16까지 또는 약 1.05부터 약 1.16까지일 수 있다.The magnitude of the deformation force, the time to apply the deformation force, and / or the temperature at which the deformation force is applied to the substrate may vary depending on the difference between the lattice constant a S of the substrate and the lattice constant a SL of the semiconductor material of the surface layer. Depending on the selected substrate material and the semiconductor material deposited thereon, a SL and a S may vary. In general, when a SL is greater than a S , that is, when the ratio a SL / a S is greater than 1, the expansion is effective to relax the hetero layer. a SL / a S ratio of from about 1.01 to about 1.16, in other embodiments from about 1.01 to about 1.10, from about 1.01 to about 1.08, from about 1.01 to about 1.05, from about 1.02 to about 1.16, 1.05 to about 1.16.

계면에게 전위들을 미끄러지게 함으로써, 표면 층은 적어도 약 85% 릴랙스되거나, 적어도 약 90% 릴랙스되거나, 적어도 약 95% 릴랙스되거나, 또는 심지어 완전히 릴랙스되는데, 즉 100% 릴랙스된다. 표면 층은 실질적으로 관통 전위들이 없을 수 있거나 또는 약 104 관통 전위들/cm2 보다 작은 관통 전위들의 농도를 가질 수 있다.By slipping dislocations to the interface, the surface layer is at least about 85% relaxed, at least about 90% relaxed, at least about 95% relaxed, or even completely relaxed, i.e., 100% relaxed. The surface layer may be substantially free of threading dislocations or may have a concentration of threading dislocations less than about 10 4 threaded dislocations / cm 2 .

표면 층이 연속적이지 않고 그러나 기판의 표면 상에 배치되는 불연속 세그먼트들(즉, 아일랜드들)을 포함하는 실시예들에서, 불연속 세그먼트들은, 각각의 아일랜드와 기판 사이에 불일치 계면 전위들을 생성하는 아일랜드들에 의해 전위 소스 층으로부터 계면까지 전위들을 생성하고 미끄러지게 함으로써 릴랙스된다. 아일랜드들 사이의 기판의 표면에 도달하는 전위들은 표면에서 소산(dissipate)되고 이는 아일랜드들 사이의 지역이 팽창 완료 시에 실질적으로 전위들이 없도록 허용한다. 아일랜드들의 릴랙세이션 후에, 반도체 물질이 추가로 피착되어 기판의 전체 직경에 걸쳐서 연속적으로 연장하는 표면 층을 산출할 수 있다. 그와 같은 실시예들에서, 아일랜드들 아래의 전위들은 새롭게 피착된 물질과 기판 사이의 계면에서 횡으로 전파되고, 그에 의해 새롭게 피착된 물질 및 연속적 표면 층을 전체적으로 릴랙스시킨다.In embodiments involving discontinuous segments (i.e., islands) in which the surface layer is not continuous but is disposed on the surface of the substrate, the discrete segments are arranged in an island- Lt; RTI ID = 0.0 > a < / RTI > dislocation source layer to the interface. Dislocations reaching the surface of the substrate between the islands are dissipated at the surface, which allows regions between the islands to have substantially no dislocations upon completion of the expansion. After the relaxation of the islands, semiconductor material may be further deposited to yield a continuously extending surface layer over the entire diameter of the substrate. In such embodiments, dislocations under the islands propagate laterally at the interface between the newly deposited material and the substrate, thereby totally relaxing the newly deposited material and the continuous surface layer.

위에서 기술된 임의의 방법들에 의해 제조되는 릴랙스된 이종구조는 웨이퍼 본딩 및 층 전송 방법들을 이용하여 집적 회로들을 위한 SOI(silicon-on-insulator) 구조들을 제조하기 위해 또는 후속적으로 변형된 SOI 구조들을 제조하기 위해 사용될 수 있다.A relaxed heterogeneous structure fabricated by any of the methods described above may be used to fabricate silicon-on-insulator (SOI) structures for integrated circuits using wafer bonding and layer transfer methods or to fabricate subsequently modified SOI structures ≪ / RTI >

추가적 층들이 릴랙스된 표면 층 위에 피착될 수 있고, 그에 의해 기판 위의 릴랙스 층 위의 변형 층을 갖는 헤테로에피택셜 구조들을 형성한다. 그러한 구조는 또한 릴랙스 층 및 변형 층의 양쪽을 또 다른 기판에게 전송하는데 사용될 수 있고, 그에 의해 매립된 변형 층 또는 대안적으로 절연체 상의 매립된 변형 층을 갖는 헤테로에피택셜 구조를 형성한다. 즉, 헤테로에피택셜 구조는 기판 또는 기판 상의 절연 층 중 어느 하나의 위의 반도체 물질의 변형 층 위의 반도체 물질의 릴랙스된 층을 가질 수 있다.Additional layers can be deposited on the relaxed surface layer thereby forming heteroepitaxial structures with a strained layer on the relax layer above the substrate. Such a structure may also be used to transfer both the relaxed layer and the strained layer to another substrate, thereby forming a heteroepitaxial structure with a buried strained layer or alternatively a buried strained layer on the insulator. That is, the heteroepitaxial structure may have a relaxed layer of semiconductor material on a strained layer of semiconductor material over either the substrate or the insulating layer on the substrate.

덧붙여, 본 개시의 방법들에 의해 제조되는 구조들은 FET(field effect transistor) 또는 MODFET(modulation-doped field effect transistor) 층 구조들과 같은 반도체 디바이스들을 제조하는데 사용될 수 있다. 릴랙스된 SiGe 층들은 또한 열전 냉각 디바이스들과 같은 다양한 다른 응용들에 대해 사용될 수 있다.In addition, structures fabricated by the methods of the present disclosure can be used to fabricate semiconductor devices such as field effect transistors (FETs) or modulation-doped field effect transistor (MODFET) layer structures. Relaxed SiGe layers can also be used for a variety of other applications, such as thermoelectric cooling devices.

V.V. 팽창용 장치Expansion device

이런 점에 관해서, 기판 팽창과 관련되어 본 명세서에서 기술되는 공정들은 이하 기술된 장치 중 임의의 것을 이용하여 실행될 수 있다.In this regard, processes described herein in connection with substrate expansion may be performed using any of the devices described below.

도 3-15를 이제 참조하면, 기판 팽창은 기판에 걸쳐서 차압(differential pressure)을 가하기 위한 챔버들 및/또는 유체 통로(fluid passageway)들을 포함하는 기판 홀더를 사용하여 달성될 수 있다.Referring now to Figures 3-15, substrate swell can be achieved using a substrate holder comprising chambers and / or fluid passageways for applying differential pressure across the substrate.

도 3-4를 이제 참조하면, 구조(9)의 팽창은 구조 홀더(11)를 사용하여 달성된다. 구조 홀더(11)는 최상부 판(13)을 포함한다. 도 3-4에 도시된 바와 같이, 최상부 판(13)은 링이다. 최상부 판(13)은 기타 형태들을 가질 수 있고, 제한 없이 기판(9)을 가로질러 전체적으로 연장할 수 있다. 최상부 판(13)은 구조의 원주 둘레(5)에서 구조(9)의 전면과 접촉하도록 적응된다.Referring now to Figs. 3-4, the inflation of the structure 9 is achieved using the structure holder 11. The structure holder (11) includes a top plate (13). 3-4, the top plate 13 is a ring. The top plate 13 may have other shapes and may extend entirely across the substrate 9 without limitation. The top plate 13 is adapted to contact the front surface of the structure 9 at the circumferential periphery 5 of the structure.

구조 홀더(11)는 원주 둘레(5)에 인접한 구조(9)의 후면과 접촉하기 위한 후방 판(15)을 포함한다. 후방 판(15)은 최상부 판(13)을 향하여 위로 연장하는 주변 링(20)을 포함한다. 그러나 기타 실시예들에서, 주변 링(20)은 최상부 판(13)의 일부일 수 있거나 또는 최상부 판(13)과 후방 판(15)의 양쪽과 별개의 것일 수 있다. 후방 판(15), 최상부 판(13) 및 주변 링(20) 모두는 최상부 판(13), 후방 판(15)(주변 링을 포함함) 및 구조(9)의 원주 둘레(5) 사이의 주위 챔버(18)를 형성하기 위해 적응된다. 일반적으로, 후방 판(15) 및 최상부 판(13)은 구조(9)와 함께 밀봉을 형성하고, 이는 주위 챔버(18)에서의 압력이 아래 기술되는 것처럼 홀더(11)에의 외부 압력에 상대적으로 감소되도록 허용한다. 주위 챔버(18)는, 밀봉이 최상부 판(13), 후방 판(15) 및 구조(9)의 원주 둘레(5) 사이에 형성되기까지 후방 판(15) 상에 반도체 구조(9)를 위치시키고 또한 최상부 판(13)을 후방 판(15) 상으로 낮춤으로써 형성될 수 있다.The structure holder 11 includes a rear plate 15 for contacting the rear surface of the structure 9 adjacent the circumferential periphery 5. The rear plate (15) includes a peripheral ring (20) extending upwardly toward the top plate (13). In other embodiments, however, the peripheral ring 20 may be part of the top plate 13, or it may be separate from both the top plate 13 and the back plate 15. Both the back plate 15, the top plate 13 and the peripheral ring 20 are located between the top plate 13, the back plate 15 (including the peripheral ring) and the circumferential periphery 5 of the structure 9 Is adapted to form the peripheral chamber (18). Generally, the rear plate 15 and the top plate 13 form a seal with the structure 9, which ensures that the pressure in the peripheral chamber 18 is relatively high relative to the external pressure to the holder 11, . The circumferential chamber 18 is configured to position the semiconductor structure 9 on the back plate 15 until the seal is formed between the top plate 13, the back plate 15 and the circumferential periphery 5 of the structure 9 And lowering the uppermost plate 13 onto the rear plate 15. [0035]

홀더(11)는 주위 챔버(18)에서 압력을 조절하기 위한 후방 판(15)에서의 배출구(vent)(22)를 포함한다. 대안적으로, 배출구는 전방 판(13) 및/또는 주변 링(20)을 통과하며 연장될 수 있다. 배출구(22)는 주위 챔버(18)에서 압력을 감소시키기 위한 펌프(도시 생략)와 유체 연락 상태(fluid communication)에 있을 수 있다.The holder 11 includes a vent 22 in the rear plate 15 for regulating the pressure in the peripheral chamber 18. Alternatively, the outlet may extend through the front plate 13 and / or the peripheral ring 20. The outlet 22 may be in fluid communication with a pump (not shown) for reducing the pressure in the peripheral chamber 18.

도 8을 이제 참조하면, 홀더(11)는 구조(9)를 팽창시키기 위한 장치(36)의 일부일 수 있다. 장치(36)는 또한 홀더(11)가 탑재되는 메인 챔버(27)를 정의하는 하우징(35)을 포함할 수 있다. 장치(36)는 메인 챔버에서 압력 P1을 조정하기 위한 펌프(도시 생략)와의 유체 연락 상태에 있는 배출구(32)를 포함할 수 있다. 구조 홀더(11) 내의 배출구(22)는 하우징(35)을 통과하며 연장된다. 이런 방식으로, 압력 P1이 메인 챔버(27)에 유지될 수 있고 상이한 압력 P2가 구조 홀더(11)의 주위 챔버(18)에 유지될 수 있다. 메인 챔버(27)에서의 압력 P1을 주위 챔버(18)에서의 압력 P2 보다 크게 유지함으로써, 구조(9)는 팽창될 수 있다(즉, 기판의 반경은 증가될 수 있다).Referring now to Fig. 8, the holder 11 may be part of the device 36 for inflating the structure 9. The device 36 may also include a housing 35 defining a main chamber 27 on which the holder 11 is mounted. The device 36 may include an outlet 32 in fluid communication with a pump (not shown) for adjusting the pressure P 1 in the main chamber. The outlet (22) in the structure holder (11) extends through the housing (35). In this way, the pressure P 1 can be held in the main chamber 27 and a different pressure P 2 can be held in the peripheral chamber 18 of the structure holder 11. By maintaining the pressure P 1 in the main chamber 27 greater than the pressure P 2 in the peripheral chamber 18, the structure 9 can be inflated (i.e., the radius of the substrate can be increased).

이와 관련해, 도 3-15에서 압력들 P1 및/또는 P2와 연관되는 화살표들은 예시적 목적들을 위해 제공된 것이고, 본 장치를 특정 압력 프로필(즉, 주위 챔버 또는 메인 챔버에서의 진공 또는 압력의 사용)에 한정하기 위한 것으로 간주해서는 안 된다.In this regard, the arrows associated with pressures P 1 and / or P 2 in FIG. 3-15 are provided for illustrative purposes, and the apparatus may be operated with a specific pressure profile (i.e., vacuum or pressure in the ambient chamber or main chamber Use) of the product.

구조(9)의 팽창 동안, P1은 P2 보다 큰 적어도 약 10 MPa 이거나, 또는 기타 실시예들에서와 같이 P2 보다 큰 적어도 약 20 MPa, 적어도 약 50 MPa 또는 적어도 약 75 MPa일 수 있다(예로, 약 10 MPa 내지 약 100 MPa, 약 10 MPa 내지 약 50 MPa 또는 약 10 MPa 내지 약 25 MPa). 몇몇 실시예들에서, P1은 주변 압력(ambient pressure)이다. 그와 같은 실시예들에서, 메인 챔버(27)와 하우징(35)은 제거될 수 있고, 하우징은 주변 환경(즉, 대기압)에 노출될 수 있다.During the expansion of structure 9, P 1 may be at least about 10 MPa greater than P 2 , or at least about 20 MPa, at least about 50 MPa, or at least about 75 MPa greater than P 2 , as in other embodiments (E.g., from about 10 MPa to about 100 MPa, from about 10 MPa to about 50 MPa, or from about 10 MPa to about 25 MPa). In some embodiments, P 1 is the ambient pressure. In such embodiments, the main chamber 27 and the housing 35 can be removed, and the housing can be exposed to the ambient environment (i.e., atmospheric pressure).

가열 소자(30)는 전위 소스 층을 활성화하기 위해 팽창 동안 구조(9)를 가열하는데 사용될 수 있다. 전술한 바와 같이, 구조는 약 650 ℃ 내지 약 1000 ℃의 온도로 또는 약 700 ℃ 내지 약 1000 ℃의 온도로 가열될 수 있다.The heating element 30 can be used to heat the structure 9 during expansion to activate the dislocation source layer. As described above, the structure can be heated to a temperature of about 650 ° C to about 1000 ° C or to a temperature of about 700 ° C to about 1000 ° C.

구조 홀더(111)의 또 다른 실시예가 도 5에 도시된다. 도 3의 것들과 비슷한 도 5에 도시된 홀더 컴포넌트들은 도 3의 대응하는 참조 번호에 "100"을 더한 것에 의해 지정된다(예를 들어, 부분(15)는 부분(115)이 된다)는 것을 유의해야 한다. 도 5에 도시된 바와 같이, 최상부 판(113)은 구조(9)의 전면(8)과 접촉하기 위한 돌출부(projection)(117)를 포함한다. 돌출부(117)는 구조(9)와 함께 밀봉을 형성하여서 주위 챔버(118)에서의 압력이 증가되도록 또는 감소되도록 허용할 수 있다.Another embodiment of the structure holder 111 is shown in Fig. The holder components shown in Figure 5, similar to those of Figure 3, are designated by adding "100" to the corresponding reference numbers in Figure 3 (e.g., portion 15 becomes portion 115) Be careful. 5, the top plate 113 includes a projection 117 for contacting the front surface 8 of the structure 9. As shown in FIG. The protrusion 117 may form a seal with the structure 9 to allow the pressure in the peripheral chamber 118 to be increased or decreased.

몇몇 실시예들에서 및 도 6-7에 도시된 바와 같이, 구조(9)는 구조 표면들의 적어도 일부상에 코팅(39)(도 6) 또는 코팅(40)(도 7)을 갖는다. 도 6 에 도시된 바와 같이, 코팅(39)은 구조(9)의 원주 둘레(5)와 원주 둘레(5)에 인접한 전면(8) 및 후면(4)의 일부에 걸쳐서 연장된다. 도 7에 도시된 바와 같이, 코팅(40)은 또한 구조의 전체 후면(4)에 걸쳐서 연장된다. 대안적으로 또는 덧붙여, 코팅은 구조 홀더의 하나 이상의 표면들에 걸쳐서 연장될 수 있다. 코팅(39) 또는 코팅(40)(또는 구조 홀더에 걸쳐서 연장될 수 있는 코팅들)은 흑연, 육방정 질화 붕소, MS2, WS2, SiCN, AlCr(V)n, TiAl(Y)N, CaF2, BaF2, SrF2 또는 BaCrO4와 같은 저 마찰 물질로 구성될 수 있다. 몇몇 실시예들에서, 구조(9)는 구조의 휘발성 막 성분들의 증발을 감소시키거나 심지어 방지하는 구조 전면 상의 코팅을 갖는다. 증발을 감소시키기 위한 적절한 코팅들은 비정질 실리콘을 포함한다.In some embodiments and as shown in FIGS. 6-7, structure 9 has a coating 39 (FIG. 6) or a coating 40 (FIG. 7) on at least a portion of the structural surfaces. The coating 39 extends over a portion of the front surface 8 and the back surface 4 adjacent the circumference 5 and circumferential periphery 5 of the structure 9. As shown in Fig. As shown in FIG. 7, the coating 40 also extends over the entire rear surface 4 of the structure. Alternatively or additionally, the coating may extend over one or more surfaces of the structure holder. Coating 39 or coating 40 (or coating that can be extended over the structure holder) are graphite, hexagonal boron nitride, MS 2, WS 2, SiCN, AlCr (V) n, TiAl (Y) N, CaF 2 , BaF 2 , SrF 2, or BaCrO 4 . In some embodiments, structure 9 has a coating on the structure front that reduces or even prevents evaporation of volatile film components of the structure. Suitable coatings for reducing evaporation include amorphous silicon.

구조 홀더(211)의 또 다른 실시예가 도 9에 도시된다. 도 3의 것들과 비슷한 도 9에 도시된 홀더 컴포넌트들은 도 3의 대응하는 참조 번호에 "200"을 더한 것에 의해 지정된다(예를 들어, 부분(15)은 부분(215)이 된다)는 것을 유의해야 한다. 구조 홀더(211)의 최상부 판(213)은 구조 홀더(211)의 사용 동안 최상부 판(213)과 구조(9)의 전면(8) 사이에 중앙 챔버(240)를 형성하는 데에 적응된 리세스를 포함한다. 중앙 챔버(240)는 반도체 구조(9) 상으로 최상부 판(213)을 낮춤으로써 형성된다. 리세스는 환형 벽(242)에 의해 정의된다. 리세스는 구조의 변형 반경 미만인 반경을 갖는다. 본 명세서에 사용되는 바로는, "변형 반경(strained radius)"은 구조 홀더(211)를 사용하는 것에 의한 구조(9)의 방사상 팽창(응력 변형(deformation)) 전의 구조의 반경을 지칭한다.Another embodiment of the structure holder 211 is shown in Fig. The holder components shown in Fig. 9, similar to those of Fig. 3, are designated by adding "200" to the corresponding reference numbers in Fig. 3 (for example, part 15 becomes part 215) Be careful. The uppermost plate 213 of the structure holder 211 is positioned in the middle of the upper surface 213 of the structure 9, Includes Seth. The central chamber 240 is formed by lowering the top plate 213 onto the semiconductor structure 9. The recess is defined by annular wall 242. The recess has a radius that is less than the deformation radius of the structure. As used herein, "strained radius" refers to the radius of the structure before radial expansion (stress deformation) of structure 9 by using structure holder 211.

최상부 판(213)은 중앙 챔버(240)에서 압력 P1을 유지하기 위해 펌프(도시 생략)와 유체 연락 상태에 있는 배출구(246)를 포함한다. 이런 방식으로, 구조(9)가 방사상으로 팽창되도록 야기하기 위해 차압이 중앙 챔버(240)와 주위 챔버(218) 사이에 유지될 수 있다. 주위 챔버(218)에서의 압력 P2 보다 크게 중앙 챔버(240)에서의 압력 P1을 유지함으로써, 구조는 팽창될 수 있다. 압력들 P1 및/또는 P2는 앞서 기술된 범위 내에 있을 수 있다.The top plate 213 includes an outlet 246 in fluid communication with a pump (not shown) to maintain pressure P 1 in the central chamber 240. In this way, a differential pressure can be maintained between the central chamber 240 and the surrounding chamber 218 to cause the structure 9 to expand radially. By maintaining the pressure P 1 in the central chamber 240 to be greater than the pressure P 2 in the peripheral chamber 218, the structure can be expanded. The pressures P 1 and / or P 2 may be within the ranges described above.

방사상으로 구조를 팽창시키기 위한 자가 제한성 구조 홀더의 실시예가 도 11에 도시된다. 도 3의 것들과 유사한 도 11에 도시된 홀더 컴포넌트들은 도 3의 대응하는 참조 번호에 "300"을 더한 것에 의해 지정된다. 구조 홀더(311)의 후방 판(315)은 구조의 팽창 동안 구조의 확장을 제한하도록 적응되는 환형 벽(352)에 의해 정의되는 리세스를 포함한다. 후방 판(315)의 리세스의 반경은 구조의 변형 반경보다 크다. 팽창 동안, 구조(9)의 반경은 구조가 환형 벽(352)과 접촉할 때까지 증가한다. 환형 벽(352)과의 접촉 시에, 구조(9)의 팽창은 중단된다.An embodiment of a self-limiting structure holder for expanding the structure radially is shown in Fig. The holder components shown in Fig. 11 similar to those of Fig. 3 are designated by adding "300" to the corresponding reference numbers in Fig. The rear plate 315 of the structure holder 311 includes a recess defined by an annular wall 352 adapted to limit the expansion of the structure during expansion of the structure. The radius of the recess of the rear plate 315 is larger than the deformation radius of the structure. During the expansion, the radius of the structure 9 increases until the structure contacts the annular wall 352. Upon contact with the annular wall 352, the expansion of the structure 9 ceases.

방사상으로 구조(9)를 팽창시키기 위한 자가 제한성 구조 홀더의 또 다른 실시예가 도 12에 도시된다. 도 3의 것들과 유사한 도 12에 도시된 홀더 컴포넌트들은 도 3의 대응하는 참조 번호에 "400"을 더한 것에 의해 지정된다. 홀더(411)는 구조(9)의 팽창 동안 구조의 확장을 제한하도록 적응되는 주위 챔버(418)에서의 천공 벽(perforated wall)(455)을 포함한다. 벽(455)에 형성되는 구멍들은 압력이 주위 챔버(418)에 걸쳐서 평형화되도록 허용한다. 주위 벽(455)은 방사상 팽창 동안 구조(9)의 팽창을 제한시킨다.Another embodiment of a self-limiting structure holder for inflating the structure 9 radially is shown in Fig. The holder components shown in Fig. 12 similar to those of Fig. 3 are designated by adding "400" to the corresponding reference numerals in Fig. The holder 411 includes a perforated wall 455 in the peripheral chamber 418 adapted to limit the expansion of the structure during the expansion of the structure 9. The holes formed in the wall 455 allow pressure to be equilibrated across the peripheral chamber 418. The peripheral wall 455 limits the expansion of the structure 9 during radial expansion.

방사상으로 구조를 팽창시키기 위한 자가 제한성 구조 홀더의 또 다른 실시예가 도 13에 도시된다. 도 3의 것들과 유사한 도 13에 도시된 홀더 컴포넌트들은 도 3의 대응하는 참조 번호들에 "500"을 더한 것에 의해 지정된다. 구조 홀더(511)의 후방 판(515)의 주위 링(520)은 사용 전에 릴랙스된 구조에 비교적 가깝게 연장된다. 팽창 동안, 구조(9)는 구조(9)가 구조의 팽창을 제한하기 위한 주위 링(520)과 접촉하기까지 방사상으로 확장된다.Another embodiment of a self-limiting structure holder for radially expanding the structure is shown in Fig. The holder components shown in Fig. 13 similar to those of Fig. 3 are designated by adding "500" to the corresponding reference numerals in Fig. The peripheral ring 520 of the rear plate 515 of the structure holder 511 extends relatively close to the relaxed structure before use. During the expansion, the structure 9 extends radially until the structure 9 contacts the peripheral ring 520 to limit the expansion of the structure.

구조(9)를 팽창(즉, 스트레칭)시키기 위해, 메인 챔버에서 더 높은 압력을 사용하는 것의 대안으로 또는 이에 덧붙여, 압착 판(659)(도 10)이 구조에 대한 하방 압력 P1을 가하기 위해 사용될 수 있다. 도 3의 것들과 유사한 도 10에 도시된 홀더 컴포넌트들은 도 3의 대응하는 참조 번호들에 "600"을 더한 것에 의해 지정된다. 압력 P2가 주위 챔버(618)에 유지된다. P2 는 구조(9)를 팽창시키기 위한 차압을 유지하기 위해 P1보다 작다. 앞서 설명한 대로, P1 은 P2보다 큰 적어도 약 10 MPa, 또는 기타 실시예들에서 P2보다 큰 적어도 약 20 MPa, 적어도 약 50 MPa 또는 적어도 약 75 MPa일 수 있다(예로, 약 10 MPa 내지 약 100 MPa, 약 10 MPa 내지 약 50 MPa 또는 약 10 MPa 내지 약 25 MPa). 힘은, 수력학(hydraulics), 공기 역학(pneumatics) 및 전기적 구동들의 사용에 의한 것과 같은 임의의 적절한 방법들에 의해 구조(9)에게 압착 판(659)을 통해 가해질 수 있다. 앞서 설명한 자가 제한성 특징들은 압착 판(659)과 조합되어 사용될 수 있다.Structure (9) inflation to (i.e., stretch), as an alternative of using a higher pressure in the main chamber or in addition, compression plates (659) (Fig. 10) to apply a downward pressure P 1 on the structure Can be used. The holder components shown in Fig. 10 similar to those of Fig. 3 are designated by adding "600" to the corresponding reference numbers in Fig. And the pressure P 2 is maintained in the peripheral chamber 618. P 2 Is smaller than P 1 to maintain the differential pressure for expanding the structure (9). As described above, P 1 At least about 10 MPa greater than P 2 , or at least about 20 MPa greater than P 2 in other embodiments, at least about 50 MPa, or at least about 75 MPa (e.g., from about 10 MPa to about 100 MPa, from about 10 MPa to about 50 MPa or about 10 MPa to about 25 MPa). The force can be applied to the structure 9 via the compression plate 659 by any suitable means such as by the use of hydraulics, pneumatics and electrical actuators. The self-limiting features described above may be used in combination with the compression plate 659. [

방사상으로 구조를 팽창시키기 위한 구조 홀더가 도 14에 도시된 대로 복수의 구조를 병행적으로 팽창시키기 위해 적응될 수 있다. 도 3의 것들과 비슷한 도 14에 도시된 홀더 컴포넌트들은 도 3의 대응하는 참조 번호에 "700"을 더한 것에 의해 지정된다. 홀더(711)는 구조들의 원주 둘레들에 인접한 구조들(9a, 9b, 9c, 9d)에 접촉하는 데에 적응된 후방 판(715)을 포함한다. 홀더(711)는 구조들의 원주 둘레들에 인접한 구조들(9a, 9b, 9c, 9d)과 접촉하는 최상부 판(713)을 포함한다. 주위 챔버(718)는 후방 판(715), 최상부 판(713) 및 구조들(9a, 9b, 9c, 9d)의 원주 둘레들 사이에 형성된다. 최상부 판(713)은 구조들이 메인 챔버(도시 생략)에서 압력 P1에 노출되도록 허용하기 위해 구조들(9a, 9b, 9c, 9d)의 전면으로 연장하는 챔버들(760)을 포함한다. 주위 챔버(718)는 압력 P2에 유지된다.A structure holder for inflating the structure radially may be adapted to expand the plurality of structures in parallel as shown in Fig. The holder components shown in Fig. 14, similar to those of Fig. 3, are designated by adding "700" to the corresponding reference numbers in Fig. The holder 711 includes a rear plate 715 adapted to contact structures 9a, 9b, 9c, 9d adjacent to the circumferential peripheries of the structures. The holder 711 includes a top plate 713 in contact with structures 9a, 9b, 9c, 9d adjacent to the circumferential peripheries of the structures. The peripheral chamber 718 is formed between the circumferential peripheries of the rear plate 715, top plate 713 and structures 9a, 9b, 9c, 9d. The top plate 713 includes chambers 760 extending to the front of the structures 9a, 9b, 9c, 9d to allow the structures to be exposed to pressure P 1 in the main chamber (not shown). Ambient chamber 718 is maintained at pressure P < 2 & gt ;.

P1을 P2보다 크게 유지함으로써, 구조들(9a, 9b, 9c, 9d)은 방사상으로 팽창될 수 있다. P1과 P2 사이의 차이는 적어도 약 10 MPa에 및 위에서 기술된 범위들 중 임의의 것 내에 있을 수 있다. P1은 대기압일 수 있고, 그와 같은 실시예들에서 최상부 판(713)은 별개의 챔버들(760)을 포함하지 않는 연속적 부분일 수 있다. 도 14에 도시된 기판 홀더가 단 하나의 후방 판 및 하나의 최상부 판을 갖는 것으로서 기술되고 보여지기는 하였지만, 홀더가 개개의 구조들 또는 구조들의 그룹들을 밀봉하는 복수의 별개의 후방 판 또는 최상부 판을 가질 수 있다는 것을 이해해야 한다. 또한, 도 14에 도시된 기판 홀더(711)가 4 개의 구조를 방사상으로 팽창시키는 것이긴 하지만, 더 많은 또는 더 적은 구조들이 제한 없이 병행적으로 팽창될 수 있도록 홀더가 배열될 수 있다는 것을 유의해야 한다.By increasing maintain than P 1 P 2, the structures (9a, 9b, 9c, 9d ) can be expanded radially. The difference between P 1 and P 2 can be at least about 10 MPa and within any of the ranges described above. P 1 may be atmospheric pressure, and in such embodiments top plate 713 may be a continuous portion that does not include separate chambers 760. Although the substrate holder shown in Fig. 14 is described and shown as having only one rear plate and one top plate, it is also possible for the holder to have a plurality of separate rear plates or top plates ≪ / RTI > It should also be noted that although the substrate holder 711 shown in Fig. 14 is intended to radially expand the four structures, the holder may be arranged such that more or fewer structures can be inflated in parallel without limitation do.

복수의 구조를 처리하기 위한 자가 제한성 구조 홀더의 실시예가 도 15에 도시된다. 도 3의 것들과 비슷한 도 15에 도시된 홀더 컴포넌트들은 도 3의 대응하는 참조 번호에 "800"을 더한 것에 의해 지정된다. 후방 판(815)은 구조들(9a, 9b, 9c, 9d)을 수납하는 데에 적응된 다수의 리세스를 포함한다. 리세스들은 환형 벽들(852a, 852b, 852c, 852d)에 의해 정의된다. 환형 벽들(852a, 852b, 852c, 852d)은 구조들(9a, 9b, 9c, 9d)의 방사상 팽창을 제한하도록 작용한다.An embodiment of a self-limiting structure holder for processing a plurality of structures is shown in Fig. The holder components shown in Fig. 15, similar to those of Fig. 3, are designated by adding "800" to the corresponding reference numbers in Fig. The rear plate 815 includes a plurality of recesses adapted to receive the structures 9a, 9b, 9c, 9d. The recesses are defined by annular walls 852a, 852b, 852c, 852d. The annular walls 852a, 852b, 852c, 852d serve to limit the radial expansion of the structures 9a, 9b, 9c, 9d.

앞서 기술된 장치뿐만 아니라, (예를 들어 클램프들 또는 다른 파지 도구(gripping element)들의 사용에 의해 주변 둘레에 대해 그럼 것처럼) 구조를 파지하고 또한 구조로 하여금 팽창되도록(스트레칭되도록) 허용하는 장치가 이하 기술된 장치에서 그런 것과 같이 이종구조를 릴랙스하는데 사용될 수 있다. 도 16-21을 이제 참조하면, 구조의 팽창은 구조에 상대적으로 방사상으로 이동 가능한 구조 홀더를 사용하여 달성될 수 있다. 그와 같은 실시예들에서, 구조 홀더는 구조를 팽창시키기 위한 장치의 일부일 수 있다. 그와 같은 장치는 장치가 홀더가 탑재된 메인 챔버(27)를 정의하는 하우징(35)을 포함한다는 점에서 도 8에 도시된 장치(36)와 유사할 수 있다. 장치는, 전위 소스 층을 활성화하기 위해 도 16-21의 구조들 중 임의의 것을 사용하는 것에 의한 팽창 동안 구조(9)를 가열하기 위한 가열 소자(30)를 포함할 수 있다.As well as the devices described above, there is a device which grasps the structure (as is the case for the periphery, for example by the use of clamps or other gripping elements) and also allows the structure to be inflated (stretched) Can be used to relax the heterogeneous structure as such in a device as described below. Referring now to Figures 16-21, the expansion of the structure can be achieved using a structure holder that is relatively radially movable relative to the structure. In such embodiments, the structure holder may be part of an apparatus for expanding the structure. Such an apparatus may be similar to the apparatus 36 shown in Fig. 8 in that the apparatus includes a housing 35 defining a main chamber 27 on which the holder is mounted. The apparatus may include a heating element 30 for heating the structure 9 during expansion by using any of the structures of Figs. 16-21 to activate the dislocation source layer.

도 16을 이제 참조하면, 구조 홀더(1720)는 홀더의 중앙 축 A에 내측으로 포인팅하는 복수의 삼각형 모양 세그먼트(1785)를 포함할 수 있다. 각각의 세그먼트는 진공을 기판에 끌어들이기 위해 그 가운데 형성된 적어도 하나의 유체 통로(1787)를 갖는다. 세그먼트들(1785)은 중앙 축 A로부터 외측으로의 이동을 위해 탑재될 수 있어서 기판이 팽창되도록 야기한다.Referring now to FIG. 16, the structure holder 1720 may include a plurality of triangular segments 1785 pointing inwardly at a central axis A of the holder. Each segment has at least one fluid passageway 1787 formed therein to attract vacuum to the substrate. Segments 1785 can be mounted for movement outwardly from the central axis A, causing the substrate to expand.

도 17을 이제 참조하면, 장치(911)는 전방 플레이트(931) 및 기판(9)에 파지력(holding force)을 행사하는 후방 판(932)을 포함하는 클램프일 수 있다. 도 17에 도시된 바와 같이, 최상부 판(931) 및 후방 판(932)을 링들이다. 최상부 판(931)은 기타 형태들을 가질 수 있고, 제한 없이 기판(9)을 가로지르며 전체적으로 연장할 수 있다. 전방 판(931) 및 후방 판(932)은 공기 역학(pneumatics), 수력학(hydraulics), 모터 및 그와 유사한 것의 사용을 포함하는 임의의 기계적 방법들에 의해 장치의 중심으로부터 외측으로 방사상으로 이동 가능할 수 있다. 그러한 기계적 방법등은 이하 기술되는 구조 홀더에서 전방 판 및/또는 후방 판 중 어떤 것이라도 이동시키기 위해 사용될 수 있다는 것을 유의해야 한다.17, the apparatus 911 may be a clamp comprising a front plate 931 and a rear plate 932 that exerts a holding force on the substrate 9. [ As shown in Fig. 17, the uppermost plate 931 and the rear plate 932 are rings. The top plate 931 may have other shapes and extend entirely across the substrate 9 without limitation. The front plate 931 and the rear plate 932 may be moved radially outwardly from the center of the device by any mechanical means, including the use of pneumatics, hydraulics, motors, and the like. It can be possible. It should be noted that such mechanical methods and the like can be used to move any of the front plate and / or rear plate in the structure holder described below.

도 18을 이제 참조하면, 또 다른 실시예에서 구조 홀더(1011)는 구조(9)의 후방에서 그루브(1148)에 수납되도록 그 크기가 정해지고 형태가 만들어지는 환형 돌기(boss)(1147)를 포함하는 전반적 평탄 후방 판(1146)을 포함한다. 돌기(1147)는 이것이 구조(9)를 팽창하는 식으로 이동 가능할 수 있다.Referring now to Figure 18, in another embodiment, the structure holder 1011 includes an annular boss 1147 sized and shaped to be received in the groove 1148 at the rear of the structure 9 And includes an overall flat rear plate 1146, The projection 1147 may be movable in such a way that it expands the structure 9.

몇몇 실시예들에서 및 도 19에 도시된 바와 같이, 구조 홀더(1120)는 전방 판으로부터 연장되는 환형 링(1252)을 갖는 전방 판(1250)을 또한 포함한다. 링(1252)은 구조가 가열 동안 구조의 팽창 동안 돌기(1247)로부터 벗겨지는 것을 방지하기 위해 구조(9)에 하향력을 행사한다. 이 기능을 성취하기 위한 기타 구조들도 본 발명의 범위 내에서 상정된다.In some embodiments and as shown in FIG. 19, the structure holder 1120 also includes a front plate 1250 having an annular ring 1252 extending from the front plate. The ring 1252 exerts a downward force on the structure 9 to prevent the structure from peeling off the protrusion 1247 during the expansion of the structure during heating. Other structures for accomplishing this function are also contemplated within the scope of the present invention.

기타 실시예들에서 및 도 20에 도시된 바와 같이, 구조 홀더(1320)는 도 18 및 도 19에 도시된 것과 비슷하거나 동일한 후방 판(1346) 및 돌기(1347)를 포함한다. 기판 홀더(1320)는 전방 판(1351) 및 구조(9)의 전면에서 그루브(1357)에 수납되도록 그 크기가 정해지고 형태가 만들어지는 전방 돌기(1355)를 포함한다.In other embodiments and as shown in FIG. 20, the structure holder 1320 includes a back plate 1346 and protrusions 1347 similar or identical to those shown in FIGS. 18 and 19. The substrate holder 1320 includes a front plate 1351 and a front projection 1355 that is sized and shaped to be received in a groove 1357 at the front of the structure 9.

도 21을 참조하면, 구조 홀더(1620)는 전반적 평탄 후방 판(1681) 및 플랜지(1683)를 포함한다. 구조(9)는 구조의 주변 에지 부근에서 구조의 후면에 부착되는 링(1680)을 포함한다. 플랜지(1683)는 링(1680)과 맞물리도록 적응된다. 지지부(1681) 및 플랜지(1683)는 구조를 팽창시키기 위해 구조에 상대적으로 이동 가능하다.Referring to Fig. 21, the structure holder 1620 includes an overall flat back plate 1681 and a flange 1683. Fig. Structure 9 includes a ring 1680 attached to the backside of the structure near the peripheral edge of the structure. The flange 1683 is adapted to engage the ring 1680. Support 1681 and flange 1683 are movable relative to the structure to expand the structure.

몇몇 실시예들에서, 앞서 기술된 장치에 의해 가해지는 변형력은 (예를 들어, 주위 또는 메인 챔버들에서 압력을 감소시키거나 증가시킴으로써) 구조에 걸리는 차압을 감소시킴으로써 그런 것처럼 또는 장치가 기판을 파지하는 실시예들에서 가해지는 변형력을 감소시킴으로써 그런 것처럼 순환된다(cycled). 그와 같은 순환은 구조에 형성되는 어떠한 탄성 응력도 해소할 수 있다.In some embodiments, the deformation force exerted by the apparatus described above may be such as by reducing the differential pressure across the structure (e.g., by reducing or increasing pressure in the surrounding or main chambers) Lt; Desc / Clms Page number 7 > Such a cycling can relieve any elastic stresses formed in the structure.

본 명세서에 사용된 바로는, "약", "실질적으로", "본질적으로", 및 "대략적으로"라는 용어들은, 치수들, 농도들, 온도들 또는 기타 물리적이거나 화학적 속성들 또는 특성들의 범위들과 연계하여 사용될 때, 예를 들어 반올림, 측정 방법론 또는 기타 통계적 변동에 기인하는 변동들을 포함하여 속성들 또는 특성들의 범위들의 상한 및/또는 하한에 존재할 수 있는 변동들을 포함하기 위해 의도된 것이다.As used herein, the terms "about", "substantially", "essentially", and "roughly" refer to a range of dimensions, concentrations, temperatures, Quot; is intended to include variations that may be present at the upper and / or lower bounds of the ranges of properties or properties, including variations due to rounding, measurement methodology or other statistical variations, for example, when used in connection with the present invention.

본 개시의 요소들 또는 이것의 바람직한 실시예(들)를 도입할 때, 단수 표현들 및 "상기"는 하나 이상의 요소들이 존재한다는 것을 의미하도록 의도된 것이다. 용어들 "포함하는", "구비하는" 및 "갖는"은 배타적이 아니며 또한 나열된 요소들 외에 추가적 요소들이 있을 수 있다는 것을 의미하도록 의도된 것이다. When introducing elements of the present disclosure or the preferred embodiment (s) thereof, the singular expressions and "above" are intended to mean that there are one or more of the elements. It is intended that the terms " comprise ", "comprise" and "comprise" are not exclusive, and that there may be additional elements other than the listed elements.

본 개시의 범위에서 벗어나지 않으면서 상기 장치 및 방법에서 다양한 변화들이 이루어질 수 있기 때문에, 상기 상세한 설명에 포함되고 첨부된 도면들에 도시된 모든 사항들은 예시적인 것으로 해석해야 하고 제한적인 의미로 해석해서는 안 된다. As various changes may be made in the device and method without departing from the scope of the present disclosure, it is intended that all matter contained in the above description and shown in the accompanying drawings shall be interpreted as illustrative and not in a limiting sense do.

Claims (57)

기판, 상기 기판 상에 배치되는 표면 층 및 상기 기판과 상기 표면 층 간의 계면을 포함하는 이종구조에서 변형을 릴랙스하기 위한 공정으로서 - 상기 기판은 중앙 축, 상기 중앙 축에 전반적으로 수직인 후면, 및 상기 중앙 축을 통과하여 상기 기판을 가로질러 연장하는 직경을 포함함 -:
전위 소스 층을 상기 기판에 형성하는 단계; 및
전위들을 발생하고 또한 상기 전위 소스 층으로부터 상기 표면 층을 향하여 상기 전위들을 미끄러지게(glide) 하기 위해 상기 기판을 방사상으로 팽창시키는 단계
를 포함하는 변형 릴랙스 공정.
A process for relaxing a deformation in a heterogeneous structure comprising a substrate, a surface layer disposed on the substrate, and an interface between the substrate and the surface layer, the substrate having a central axis, a rear surface generally perpendicular to the central axis, A diameter extending across the central axis through the substrate;
Forming a dislocation source layer on the substrate; And
Radially expanding the substrate to generate dislocations and to glide the potentials from the dislocation source layer toward the surface layer
/ RTI >
제1항에 있어서, 상기 전위들은 상기 기판-표면 층 계면으로 미끄러지고 또한 상기 계면에서 불일치 계면 전위들을 형성하는 변형 릴랙스 공정.2. The strain relax process according to claim 1, wherein the dislocations slip into the substrate-surface layer interface and also form mismatch interface potentials at the interface. 제1항 또는 제2항에 있어서, 상기 구조의 직경은 약 150㎜ 이상, 약 200㎜ 이상, 약 300㎜ 이상 또는 심지어 약 450㎜ 이상인 변형 릴랙스 공정.3. The strain relax process according to claim 1 or 2, wherein the structure has a diameter of at least about 150 mm, at least about 200 mm, at least about 300 mm, or even at least about 450 mm. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 기판은 실리콘, 탄화 실리콘, 사파이어, 게르마늄, 실리콘 게르마늄, 질화 갈륨, 질화 알루미늄, 갈륨 비소, 인듐 갈륨 비소 또는 이것들의 임의의 조합으로 이루어진 군으로부터 선택되는 물질로 구성되는 변형 릴랙스 공정.4. The method according to any one of claims 1 to 3, wherein the substrate is made of silicon, silicon carbide, sapphire, germanium, silicon germanium, gallium nitride, aluminum nitride, gallium arsenide, indium gallium arsenide or any combination thereof ≪ / RTI > 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 표면 층은 실리콘, 탄화 실리콘, 사파이어, 게르마늄, 실리콘 게르마늄, 질화 갈륨, 질화 알루미늄, 갈륨 비소, 인듐 갈륨 비소 또는 이것들의 임의의 조합으로 이루어진 군으로부터 선택되는 물질로 구성되는 변형 릴랙스 공정.5. A method according to any one of claims 1 to 4, wherein the surface layer is made of silicon, silicon carbide, sapphire, germanium, silicon germanium, gallium nitride, aluminum nitride, gallium arsenide, indium gallium arsenide or any combination thereof A strain relax process comprising a material selected from the group consisting of: 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 표면 층은 실리콘 게르마늄으로 구성되는 변형 릴랙스 공정.5. The strain relax process according to any one of claims 1 to 4, wherein the surface layer is comprised of silicon germanium. 제6항에 있어서, 상기 기판은 실리콘으로 구성되는 변형 릴랙스 공정.7. The strain relax process according to claim 6, wherein the substrate is made of silicon. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 기판은 실리콘으로 구성되는 변형 릴랙스 공정.4. The strain relax process according to any one of claims 1 to 3, wherein the substrate is made of silicon. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 전위 소스 층은 반도체 물질을 포함하는 잉곳으로부터 상기 기판을 슬라이싱함으로써 형성되는 변형 릴랙스 공정.9. The strain relax process according to any one of claims 1 to 8, wherein the dislocation source layer is formed by slicing the substrate from an ingot comprising a semiconductor material. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 전위 소스 층은 상기 기판의 후면을 래핑(lapping)함으로써 형성되는 변형 릴랙스 공정.9. The strain relax process according to any one of claims 1 to 8, wherein the dislocation source layer is formed by lapping the back surface of the substrate. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 전위 소스 층은 상기 기판의 후면을 모래 분사(sand blasting)함으로써 형성되는 변형 릴랙스 공정.9. The strain relax process according to any one of claims 1 to 8, wherein the dislocation source layer is formed by sand blasting the back surface of the substrate. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 전위 소스 층은 상기 기판의 후면을 통하여 이온들을 상기 기판에 주입함으로써 형성되는 변형 릴랙스 공정.9. The strain relax process according to any one of claims 1 to 8, wherein the dislocation source layer is formed by implanting ions into the substrate through the backside of the substrate. 제1항 내지 제12항 중 어느 한 항에 있어서, 상기 기판은 방사상으로 상기 이종구조를 팽창시키는 동안 적어도 약 550℃로 가열되거나, 또는 상기 이종구조를 방사상으로 팽창시키는 동안 적어도 약 650℃로, 적어도 약 700℃로, 약 550℃ 내지 약 1000℃로, 약 650℃ 내지 약 1000℃로 또는 약 700℃ 내지 약 1000℃로 가열되는 변형 릴랙스 공정.13. The method of any one of claims 1 to 12, wherein the substrate is heated to at least about 550 DEG C while expanding the heterogeneous structure in a radial direction, or at least about 650 DEG C while radially expanding the heterogeneous structure, At least about 700 캜, from about 550 캜 to about 1000 캜, from about 650 캜 to about 1000 캜, or from about 700 캜 to about 1000 캜. 제1항 내지 제13항 중 어느 한 항에 있어서, 변형력이 상기 방사상 팽창 동안 상기 이종구조에 가해지고, 상기 변형력은 적어도 약 5 MPa, 적어도 약 10 MPa, 약 5 MPa 내지 약 100 MPa, 약 10 MPa 내지 약 100 MPa, 약 10 MPa 내지 약 50 MPa 또는 약 10 MPa 내지 약 25 MPa인 변형 릴랙스 공정.14. The method of any one of claims 1 to 13, wherein a deformation force is applied to the heterogeneous structure during the radial expansion, the deformation force being at least about 5 MPa, at least about 10 MPa, from about 5 MPa to about 100 MPa, MPa to about 100 MPa, from about 10 MPa to about 50 MPa, or from about 10 MPa to about 25 MPa. 제1항 내지 제14항 중 어느 한 항에 있어서, 상기 기판은 적어도 약 10 초, 약 10초 내지 약 5 시간, 또는 약 10 분 내지 약 20 분의 기간 동안 방사상으로 팽창되는 변형 릴랙스 공정.15. The strain relax process according to any one of claims 1 to 14, wherein the substrate is radially expanded for a period of at least about 10 seconds, from about 10 seconds to about 5 hours, or from about 10 minutes to about 20 minutes. 제1항 내지 제15항 중 어느 한 항에 있어서, 상기 기판을 방사상으로 팽창시키는 단계는 상기 이종구조를 방사상으로 팽창시키는 단계를 포함하는 변형 릴랙스 공정.16. The strain relaxation process according to any one of claims 1 to 15, wherein radially expanding the substrate comprises radially expanding the heterogeneous structure. 제1항 내지 제16항 중 어느 한 항에 있어서, 변형력 S1이 상기 방사상 팽창 동안 상기 이종구조에 가해지고, 상기 공정은 변형력 S1을 변형력 S2로 감소시키는 단계를 더 포함하고, S2는 S1 미만이고, S2는 전위들이 상기 전위 소스에서 발생되는 임계 값 미만이고 또한 기존 전위들이 실질적으로 전위들이 없는 기판을 산출하기 위해 상기 기판-표면 층 계면을 향하여 미끄러지도록 허용하는 임계 값을 넘는 변형력인 변형 릴랙스 공정.17. The method of any one of claims 1 to 16, wherein a strain S 1 is applied to the heterogeneous structure during the radial expansion, the process further comprising reducing the strain S 1 to a strain S 2 , wherein S 2 Is less than S < 1 & gt ;, S < 2 > is a threshold that allows the potentials to fall below the threshold generated at the potential source and to slip toward the substrate-surface layer interface to yield a substrate where existing potentials are substantially free of potentials Deformation relaxation process, which is the overstretching force. 제1항 내지 제17항 중 어느 한 항에 있어서, 상기 표면 층은 실질적으로 관통 전위들이 없거나 또는 약 104 관통 전위들/cm2 보다 작은 관통 전위들의 농도를 갖는 변형 릴랙스 공정.The method according to any one of claims 1 to 17, wherein the surface layer is substantially missing are in threading dislocation or about 10 4 threading dislocations / cm 2 A strain relax process with a concentration of smaller threading dislocations. 제1항 내지 제18항 중 어느 한 항에 있어서, 상기 표면 층은 상기 기판의 직경을 가로지르며 연속적으로 연장되는 변형 릴랙스 공정. 19. The strain relax process according to any one of claims 1 to 18, wherein the surface layer extends continuously across the diameter of the substrate. 제1항 내지 제18항 중 어느 한 항에 있어서, 상기 표면 층은 불연속 세그먼트들을 포함하는 변형 릴랙스 공정.19. The strain relax process according to any one of claims 1 to 18, wherein the surface layer comprises discontinuous segments. 릴랙스된 이종구조를 제조하기 위한 공정으로서:
반도체 기판의 전면 상에 표면 층을 피착하고, 그에 의해 상기 표면 층과 상기 기판 간의 변형을 생성하는 단계: 및
제1항 내지 제20항 중 어느 한 항의 공정에 의해 상기 표면 층 및 상기 기판에서 상기 변형을 랠랙스하는 단계
를 포함하는 릴랙스된 이종구조 제조 공정.
A process for making a relaxed heterogeneous structure comprising:
Depositing a surface layer on a front surface of a semiconductor substrate, thereby creating a deformation between the surface layer and the substrate; and
20. A method for manufacturing a semiconductor device, comprising: luracing the deformation in the surface layer and the substrate by the process of any one of claims 1 to 20
≪ / RTI >
제21항에 있어서, 상기 반도체 기판은 격자 상수 aS 를 갖고, 상기 표면 층은 격자 상수 aSL 를 갖고, aSL/aS 의 비는 약 1보다 크고, 약 1.01 내지 약 1.16, 약 1.01 내지 약 1.10, 약 1.01 내지 약 1.08, 약 1.01 내지 약 1.05, 약 1.02 내지 약 1.16 또는 약 1.05 내지 약 1.16인 릴랙스된 이종구조 제조 공정.22. The method of claim 21, wherein the semiconductor substrate has a lattice constant a S , The surface layer has a lattice constant a SL , a SL / a S A ratio of greater than about 1 to about 1.01 to about 1.16, from about 1.01 to about 1.10, from about 1.01 to about 1.08, from about 1.01 to about 1.05, from about 1.02 to about 1.16, or from about 1.05 to about 1.16 . 제21항 또는 제22항에 있어서, 상기 표면 층은 불연속 세그먼트들을 포함하고, 상기 공정은 방사상으로 상기 기판을 팽창시킨 후에 상기 반도체 기판의 전면 상에 반도체 물질을 피착하는 단계를 더 포함하고, 상기 피착은 상기 기판의 표면 상에 연속 표면 층을 생성하는 릴랙스된 이종구조 제조 공정.24. The method of claim 21 or 22, wherein the surface layer comprises discrete segments, the process further comprising: after the substrate is radially expanded, depositing a semiconductor material on a front surface of the semiconductor substrate, The deposition produces a continuous surface layer on the surface of the substrate. 전면, 후면 및 원주 둘레를 갖는 반도체 구조를 방사상으로 팽창시키기 위한 장치로서:
상기 구조의 원주 둘레에 인접하여 상기 구조와 접촉하기 위한 최상부 판 및 후방 판을 포함하는 구조 홀더 - 상기 최상부 판은 상기 구조의 전면과 접촉하도록 적응되고 상기 후방 판은 상기 구조의 후면과 접촉하도록 적응되고, 상기 최상부 판 및 상기 후방 판은 상기 최상부 판, 상기 후방 판 및 상기 구조의 원주 둘레 간에 주위 챔버를 형성하도록 추가로 적응됨 -
를 포함하는 장치.
CLAIMS What is claimed is: 1. An apparatus for radially expanding a semiconductor structure having front, rear and circumferential peripheries, comprising:
A structure holder comprising a top plate and a rear plate adjacent to a circumference of the structure for contacting the structure, the top plate being adapted to contact a front surface of the structure and the rear plate adapted to contact the back surface of the structure Wherein the top plate and the rear plate are further adapted to form a circumferential chamber around the circumference of the top plate, the rear plate and the structure,
/ RTI >
제24항에 있어서, 상기 전방 판 및 상기 후방 판은 상기 구조에 걸쳐서 차압을 야기하는 것을 촉진시기기 위해 상기 구조와 밀봉을 형성하도록 적응되는 장치.25. The apparatus of claim 24, wherein the front plate and the rear plate are adapted to form a seal with the structure to facilitate causing differential pressure across the structure. 제24항 또는 제25항에 있어서, 상기 구조 홀더는 주위 링, 최상부 판, 후방 판 및 상기 구조의 원주 둘레 간에 챔버를 형성하도록 적응되는 상기 주위 링을 더 포함하는 장치.26. The apparatus of claim 24 or 25, wherein the structure holder further comprises a peripheral ring, a top plate, a rear plate, and the peripheral ring adapted to form a chamber about a circumference of the structure. 제24항 내지 제26항 중 어느 한 항에 있어서, 메인 챔버를 더 포함하고, 상기 구조 홀더는 상기 메인 챔버에 탑재되는 장치. A device according to any one of claims 24 to 26, further comprising a main chamber, wherein said structure holder is mounted in said main chamber. 제27항에 있어서, 상기 메인 챔버와 상기 주위 챔버 간의 차압을 야기하기 위해 상기 메인 챔버와 유체 연락 상태에 있는 펌프를 더 포함하는 장치.28. The apparatus of claim 27, further comprising a pump in fluid communication with the main chamber to cause a differential pressure between the main chamber and the peripheral chamber. 제25항 내지 제28항 중 어느 한 항에 있어서, 상기 구조에 대한 변형력을 행사하는 데에 충분한 상기 구조에 걸친 차압을 야기하기 위해 상기 주위 챔버와 유체 연락 상태에 있는 펌프를 더 포함하는 장치.29. The apparatus of any one of claims 25 to 28, further comprising a pump in fluid communication with the surrounding chamber to cause a differential pressure over the structure sufficient to exert a strain on the structure. 제24항 내지 제29항 중 어느 한 항에 있어서, 상기 구조를 가열하기 위한 가열 소자를 더 포함하는 장치.30. Apparatus according to any one of claims 24 to 29, further comprising a heating element for heating the structure. 제24항 내지 제30항 중 어느 한 항에 있어서, 상기 구조 홀더는 상기 주위 챔버에서 가압하거나(pressurize) 진공을 생성하기 위해 상기 주위 챔버와 유체 연락 상태에 있는 배출구를 포함하는 장치. 31. A device according to any one of claims 24 to 30, wherein the structure holder comprises an outlet in fluid communication with the surrounding chamber to pressurize or create a vacuum in the peripheral chamber. 제24항 내지 제31항 중 어느 한 항에 있어서, 상기 구조에 힘을 행사하기 위해 상기 구조의 전면과 접촉하기 위한 압착 판을 더 포함하는 장치.32. The apparatus of any one of claims 24 to 31, further comprising a compression plate for contacting a front surface of the structure to exert a force on the structure. 제24항 내지 제32항 중 어느 한 항에 있어서, 상기 최상부 판은 상기 구조의 전면과 접촉하기 위한 돌출부를 포함하는 장치.33. Apparatus according to any one of claims 24 to 32, wherein the top plate comprises a protrusion for contacting a front surface of the structure. 제24항 내지 제34항 중 어느 한 항에 있어서, 상기 장치는 구조와 조합되고, 상기 구조는 상기 최상부 판 및/또는 상기 후방 판과 접촉하도록 적응되는 코팅을 갖는 장치.35. Apparatus according to any one of claims 24 to 34, wherein the apparatus is combined with a structure, the structure having a coating adapted to contact the top plate and / or the rear plate. 제34항에 있어서, 상기 코팅은 상기 구조의 전면 및/또는 후면 위에서 전체적으로 연장되지 않는 장치.35. The apparatus of claim 34, wherein the coating does not extend entirely over the front and / or rear surface of the structure. 제24항 내지 제35항 중 어느 한 항에 있어서, 상기 최상부 판은 상기 최상부 판과 상기 구조의 전면 간에 중앙 챔버를 형성하기 위해 적응되는 리세스를 포함하는 장치.A device according to any one of claims 24 to 35, wherein the top plate comprises a recess adapted to form a central chamber between the top plate and the front surface of the structure. 제36항 중 어느 한 항에 있어서, 상기 장치는 구조와 조합되고, 상기 리세스는 반경을 갖고, 상기 구조는 변형 반경을 갖고, 상기 리세스의 반경은 상기 구조의 변형 반경보다 작은 장치.37. The apparatus of any one of claims 36 to 35, wherein the device is combined with a structure, the recess has a radius, the structure has a radius of deformation, and the radius of the recess is less than the deformation radius of the structure. 제36항 또는 제37항에 있어서, 상기 최상부 판은 상기 중앙 챔버와 상기 주위 챔버 간의 차압을 야기하기 위해 펌프와 유체 연락 상태에 있는 배출구를 포함하는 장치.38. The apparatus of claim 36 or 37, wherein the top plate includes an outlet in fluid communication with the pump to cause a differential pressure between the central chamber and the peripheral chamber. 제24항 내지 제38항 중 어느 한 항에 있어서, 상기 후방 판은 상기 구조를 수납하기 위해 적응되는 리세스를 포함하고, 상기 리세스는 환형 벽에 의해 정의되고, 상기 환형 벽은 상기 구조의 팽창 동안 상기 구조의 확장을 제한하도록 적응되는 장치. 39. Apparatus according to any one of claims 24 to 38, wherein the rear plate comprises a recess adapted to receive the structure, the recess being defined by an annular wall, And adapted to limit expansion of the structure during expansion. 제39항에 있어서, 상기 장치는 구조와 조합되고, 상기 리세스는 반경을 갖고, 상기 구조는 변형 반경을 갖고, 상기 리세스의 반경은 상기 구조의 변형 반경보다 큰 장치.40. The apparatus of claim 39, wherein the device is combined with a structure, the recess has a radius, the structure has a radius of deformation, and the radius of the recess is greater than a radius of deformation of the structure. 제24항 내지 제40항 중 어느 한 항에 있어서, 상기 구조 홀더는 상기 주위 챔버에서의 천공 벽(perforated wall)을 더 포함하고, 상기 천공 벽은 상기 구조의 팽창 동안 상기 구조의 확장을 제한하도록 적응되는 장치.41. A structure according to any one of claims 24 to 40, wherein the structure holder further comprises a perforated wall in the peripheral chamber, the perforated wall being adapted to limit the expansion of the structure during expansion of the structure Adaptive device. 제24항 내지 제41항 중 어느 한 항에 있어서, 상기 장치는 복수의 반도체 구조를 병행적으로 방사상으로 팽창시키도록 적응되는 장치.42. The apparatus according to any one of claims 24 to 41, wherein the apparatus is adapted to radially expand a plurality of semiconductor structures concurrently. 제42항에 있어서, 상기 후방 판은 상기 구조들의 원주 둘레들에 인접하여 상기 구조들과 접촉하도록 적응되고, 상기 구조 홀더는 복수의 최상부 판을 포함하는 장치.43. The apparatus of claim 42, wherein the back plate is adapted to contact the structures adjacent to circumferential perimeters of the structures, and wherein the structure holder comprises a plurality of top plates. 장치에서 반도체 구조를 방사상으로 팽창시키기 위한 방법으로서 - 상기 구조는 전면, 후면 및 원주 둘레를 가지며, 상기 장치는 상기 구조의 원주 둘레에 인접하여 상기 구조와 접촉하기 위한 최상부 판 및 후방 판을 포함하는 구조 홀더를 포함하고, 상기 최상부 판은 상기 구조의 전면과 접촉하도록 적응되고 및 상기 후방 판은 상기 구조의 후면과 접촉하도록 적응됨-:
상기 최상부 판, 상기 후방 판 및 상기 구조의 원주 둘레 간에 주위 챔버를 형성하는 단계; 및
방사상으로 상기 구조를 팽창시키기 위해 상기 주위 챔버에서의 압력을 변화시키는 단계
를 포함하는 팽창 방법.
CLAIMS What is claimed is: 1. A method for radially expanding a semiconductor structure in a device, the structure having front, back and circumferential peripheries, the device comprising a top plate and a back plate adjacent to a circumference of the structure for contacting the structure Wherein the top plate is adapted to contact a front surface of the structure and the rear plate is adapted to contact a rear surface of the structure;
Forming a circumferential chamber around the circumference of the top plate, the rear plate, and the structure; And
Varying the pressure in the surrounding chamber to expand the structure radially
/ RTI >
제44항에 있어서, 상기 주위 챔버는:
상기 후방 판 상에 상기 반도체 구조를 위치시키고; 및
상기 구조 상으로 상기 최상부 판을 낮춤으로써 형성되는
팽창 방법.
45. The apparatus of claim 44, wherein the ambient chamber comprises:
Placing the semiconductor structure on the back plate; And
The top plate being formed by lowering the top plate
Expansion method.
제44항 또는 제45항에 있어서, 상기 압력은 상기 주위 챔버에서 상기 압력을 감소시킴으로써 변화되는 팽창 방법.46. The method of Claim 44 or 45 wherein said pressure is varied by reducing said pressure in said ambient chamber. 제44항 내지 제46항 중 어느 한 항에 있어서, 상기 장치는 상기 구조 홀더가 탑재되는 메인 챔버를 포함하고, 상기 방법은 상기 메인 챔버와 상기 주위 챔버 간에 차압을 생성하는 단계를 포함하는 팽창 방법.46. A method according to any one of claims 44 to 46, wherein the device comprises a main chamber in which the structure holder is mounted, the method comprising generating a differential pressure between the main chamber and the peripheral chamber . 제47항에 있어서, 상기 메인 챔버에서의 압력은 상기 주위 챔버에서의 압력보다 큰 적어도 약 10 MPa이거나, 또는 상기 주위 챔버에서의 압력보다 큰 적어도 약 20 MPa, 적어도 약 50 MPa, 적어도 약 75 MPa, 약 10 MPa 내지 약 100 MPa, 약 10 MPa 내지 약 50 MPa, 또는 약 10 MPa 내지 약 25 MPa인 팽창 방법.48. The method of claim 47, wherein the pressure in the main chamber is at least about 10 MPa greater than the pressure in the peripheral chamber, or at least about 20 MPa, at least about 50 MPa, at least about 75 MPa From about 10 MPa to about 100 MPa, from about 10 MPa to about 50 MPa, or from about 10 MPa to about 25 MPa. 제47항 또는 제48항에 있어서, 상기 메인 챔버에서의 압력을 증가시킴으로써 상기 메인 챔버에서의 압력을 변화시키는 단계를 포함하는 팽창 방법.49. The method of Claim 47 or 48, comprising varying the pressure in the main chamber by increasing the pressure in the main chamber. 제44항 내지 제49항 중 어느 한 항에 있어서, 상기 구조의 방사상 팽창 동안 상기 구조를 가열하는 단계를 더 포함하는 팽창 방법.50. A method according to any one of claims 44 to 49, further comprising heating the structure during radial expansion of the structure. 제44항 내지 제50항 중 어느 한 항에 있어서, 상기 장치는 압착 판을 포함하고, 상기 방법은 상기 구조에 압력을 행사하기 위해 상기 압착 판에 의해 상기 구조의 전면과 접촉하는 단계를 더 포함하는 팽창 방법.52. A method according to any one of claims 44 to 50, wherein the device comprises a compression plate, the method further comprising the step of contacting the front side of the structure by the compression plate to exert pressure on the structure Lt; / RTI > 제44항 내지 제51항 중 어느 한 항에 있어서, 상기 최상부 판은 환형 벽에 의해 정의되는 리세스를 포함하고, 상기 방법은:
상기 최상부 판과 상기 구조의 전면 간에 중앙 챔버를 형성하는 단계; 및
상기 중앙 챔버에서의 압력을 증가시킴으로써 상기 중앙 챔버에서의 압력을 변화시키는 단계
를 포함하는 팽창 방법.
52. A method according to any one of claims 44 to 51, wherein said top plate comprises a recess defined by an annular wall, said method comprising:
Forming a central chamber between the top plate and the front surface of the structure; And
Changing the pressure in the central chamber by increasing the pressure in the central chamber
/ RTI >
제44항 내지 제52항 중 어느 한 항에 있어서, 상기 후방 판은 환형 벽에 의해 정의되는 리세스를 포함하고, 상기 방법은:
상기 후방 판 상의 상기 반도체 구조를 상기 리세스에 위치시키는 단계; 및
상기 환형 벽으로 상기 구조를 방사상으로 팽창시키는 단계
를 포함하는 팽창 방법.
53. The method of any one of claims 44 to 52, wherein the back plate comprises a recess defined by an annular wall, the method comprising:
Positioning the semiconductor structure on the rear plate in the recess; And
Radially expanding the structure with the annular wall
/ RTI >
제44항 내지 제53항 중 어느 한 항에 있어서, 상기 구조 홀더는 상기 주위 챔버에 천공 벽을 더 포함하고, 상기 방법은 상기 주위 챔버로 상기 구조를 방사상으로 팽창시키는 단계를 포함하는 팽창 방법.55. A method according to any one of claims 44 to 53, wherein the structure holder further comprises a perforated wall in the peripheral chamber, the method comprising the step of radially expanding the structure into the peripheral chamber. 제44항 내지 제54항 중 어느 한 항에 있어서, 복수의 반도체 구조가 병행적으로 방사상으로 팽창되는 팽창 방법.55. A method according to any one of claims 44 to 54, wherein a plurality of semiconductor structures are radially expanded in parallel. 제55항에 있어서, 상기 후방 판 상에 복수의 구조를 위치시키는 단계 및 상기 구조들 상으로 하나 이상의 최상부 판들을 낮추는 단계를 포함하는 팽창 방법.56. The method of claim 55, comprising positioning a plurality of structures on the back plate and lowering one or more top plates over the structures. 제44항 내지 제56항 중 어느 한 항에 있어서, 상기 반도체 구조는 기판 및 에피택셜 층을 포함하고, 상기 기판 및 상기 에피택셜 층은 기판-에피택셜 층 계면을 형성하는 팽창 방법.55. A method according to any one of claims 44 to 56, wherein the semiconductor structure comprises a substrate and an epitaxial layer, wherein the substrate and the epitaxial layer form a substrate-epitaxial layer interface.
KR1020157020443A 2012-12-31 2013-12-30 Processes and apparatus for preparing heterostructures with reduced strain by radial distention KR102203560B1 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201261747613P 2012-12-31 2012-12-31
US61/747,613 2012-12-31
US201361793999P 2013-03-15 2013-03-15
US201361790445P 2013-03-15 2013-03-15
US201361788744P 2013-03-15 2013-03-15
US61/788,744 2013-03-15
US61/790,445 2013-03-15
US61/793,999 2013-03-15
PCT/US2013/078224 WO2014106155A2 (en) 2012-12-31 2013-12-30 Processes and apparatus for preparing heterostructures with reduced strain by radial distension

Publications (2)

Publication Number Publication Date
KR20150103697A true KR20150103697A (en) 2015-09-11
KR102203560B1 KR102203560B1 (en) 2021-01-15

Family

ID=49958756

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020157020443A KR102203560B1 (en) 2012-12-31 2013-12-30 Processes and apparatus for preparing heterostructures with reduced strain by radial distention
KR1020157020444A KR102224422B1 (en) 2012-12-31 2013-12-30 Processes and apparatus for preparing heterostructures with reduced strain by radial compression

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020157020444A KR102224422B1 (en) 2012-12-31 2013-12-30 Processes and apparatus for preparing heterostructures with reduced strain by radial compression

Country Status (7)

Country Link
US (7) US10361097B2 (en)
EP (6) EP4002429A1 (en)
JP (10) JP6314154B2 (en)
KR (2) KR102203560B1 (en)
CN (5) CN105144341B (en)
TW (4) TWI622168B (en)
WO (3) WO2014106177A1 (en)

Families Citing this family (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10361097B2 (en) 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014191624A1 (en) * 2013-05-29 2014-12-04 Beneq Oy Substrate holder and arrangement for holding substrates
WO2014191621A1 (en) * 2013-05-29 2014-12-04 Beneq Oy Substrate carrier and arrangement for supporting substrates
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102015223807A1 (en) * 2015-12-01 2017-06-01 Siltronic Ag Process for producing a semiconductor wafer with epitaxial layer in a deposition chamber, apparatus for producing an epitaxial-layer semiconductor wafer and semiconductor wafer with epitaxial layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
CN107623028B (en) * 2016-07-13 2021-02-19 环球晶圆股份有限公司 Semiconductor substrate and processing method thereof
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JPWO2018037799A1 (en) * 2016-08-25 2019-06-20 日本ゼオン株式会社 Plasma etching method
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10068787B2 (en) * 2016-12-30 2018-09-04 Sunpower Corporation Bowing semiconductor wafers
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102015336B1 (en) * 2017-06-12 2019-08-28 삼성전자주식회사 Method of reducing warpage of semiconductor package substrate and warpage reducer device
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11201079B2 (en) * 2018-05-30 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer chuck
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) * 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200110047A (en) * 2019-03-15 2020-09-23 주식회사 케이씨텍 Apparatus for Treating Substrate
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
JP7279465B2 (en) * 2019-03-28 2023-05-23 住友金属鉱山株式会社 SUPPORTING SUBSTRATE, SUPPORTING SUBSTRATE HOLDING METHOD, AND FILM-FORMING METHOD
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11328944B2 (en) * 2019-10-23 2022-05-10 Eugenus, Inc. Systems and methods of placing substrates in semiconductor manufacturing equipment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11791192B2 (en) * 2020-01-19 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holder, wafer chuck, wafer holding method
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240035161A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Actively controlled pre-heat ring for process temperature control

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6464780B1 (en) * 1998-01-27 2002-10-15 Forschungszentrum Julich Gmbh Method for the production of a monocrystalline layer on a substrate with a non-adapted lattice and component containing one or several such layers
KR20050074980A (en) * 2002-11-19 2005-07-19 인터내셔널 비지네스 머신즈 코포레이션 Relaxed sige layers on si or silicon-on-insulator substrates by ion implantation and thermal annealing
JP2006135319A (en) * 2004-11-01 2006-05-25 Internatl Business Mach Corp <Ibm> Hetero integration type strained silicon n-type mosfet, p-type mosfet, and method of manufacturing the same

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54112164A (en) 1978-02-22 1979-09-01 Nec Home Electronics Ltd Manufacture of semiconductor device
JPS54134564A (en) 1978-04-12 1979-10-19 Hitachi Ltd Wafer dividing unit
DE3110341C2 (en) 1980-03-19 1983-11-17 Hitachi, Ltd., Tokyo Method and apparatus for aligning a thin substrate in the image plane of a copier
US4473455A (en) 1981-12-21 1984-09-25 At&T Bell Laboratories Wafer holding apparatus and method
JPS59117235A (en) 1982-12-24 1984-07-06 Hitachi Yonezawa Denshi Kk Wafer breaking process and device therefor
US4542298A (en) * 1983-06-09 1985-09-17 Varian Associates, Inc. Methods and apparatus for gas-assisted thermal transfer with a semiconductor wafer
US4704886A (en) * 1985-04-22 1987-11-10 Aluminum Company Of America Stretch-forming process
JPH0697674B2 (en) * 1986-02-19 1994-11-30 キヤノン株式会社 Ball contact type wafer chuck
JPS62284707A (en) 1986-06-03 1987-12-10 セイコーエプソン株式会社 Wafer breaking device
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5096536A (en) * 1990-06-12 1992-03-17 Micron Technology, Inc. Method and apparatus useful in the plasma etching of semiconductor materials
DE4024576A1 (en) * 1990-08-02 1992-02-06 Bosch Gmbh Robert DEVICE FOR SINGLE-SIDED ASSEMBLY OF A SEMICONDUCTOR DISC
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
US5343012A (en) 1992-10-06 1994-08-30 Hardy Walter N Differentially pumped temperature controller for low pressure thin film fabrication process
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
JPH0897159A (en) 1994-09-29 1996-04-12 Handotai Process Kenkyusho:Kk Method and system for epitaxial growth
US5868847A (en) * 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
JPH08176798A (en) 1994-12-27 1996-07-09 Idoutai Tsushin Sentan Gijutsu Kenkyusho:Kk Production of functional thin film
JP3220619B2 (en) 1995-05-24 2001-10-22 松下電器産業株式会社 Gas heat transfer plasma processing equipment
US5618759A (en) 1995-05-31 1997-04-08 Texas Instruments Incorporated Methods of and apparatus for immobilizing semiconductor wafers during sawing thereof
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JP3865803B2 (en) 1995-08-29 2007-01-10 株式会社半導体エネルギー研究所 Optical processing method and method for manufacturing semiconductor device
JP3180208B2 (en) 1995-09-18 2001-06-25 株式会社新川 Pellet pickup device
JPH0992625A (en) * 1995-09-20 1997-04-04 Tokyo Electron Ltd Boat for heat treatment
JP3477953B2 (en) 1995-10-18 2003-12-10 東京エレクトロン株式会社 Heat treatment equipment
JP3725598B2 (en) 1996-01-12 2005-12-14 東芝セラミックス株式会社 Epitaxial wafer manufacturing method
US6133550A (en) 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
US5753566A (en) 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
JPH10106907A (en) 1996-10-01 1998-04-24 Canon Inc Solid phase junctioning method
US5789309A (en) 1996-12-30 1998-08-04 Memc Electronic Materials, Inc. Method and system for monocrystalline epitaxial deposition
US5936829A (en) 1997-01-02 1999-08-10 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
GB2325939B (en) 1997-01-02 2001-12-19 Cvc Products Inc Thermally conductive chuck for vacuum processor
JP3257442B2 (en) 1997-04-09 2002-02-18 松下電器産業株式会社 Method for producing gallium nitride crystal
US6113479A (en) 1997-07-25 2000-09-05 Obsidian, Inc. Wafer carrier for chemical mechanical planarization polishing
JPH1154437A (en) * 1997-07-30 1999-02-26 Kyocera Corp Method of forming compound semiconductor film
JP3662404B2 (en) 1997-11-19 2005-06-22 芝浦メカトロニクス株式会社 Wafer sheet stretching apparatus and pellet bonding apparatus using the same
JPH11154662A (en) * 1997-11-20 1999-06-08 Seiko Instruments Inc Semiconductor manufacture device
JPH11240795A (en) 1998-02-27 1999-09-07 Super Silicon Kenkyusho:Kk Epitaxial growth apparatus
JP3178517B2 (en) * 1998-03-05 2001-06-18 日本電気株式会社 Sample stage for pattern exposure equipment
CN1063224C (en) * 1998-06-16 2001-03-14 中国科学院化工冶金研究所 Airlift cyclic immersion lighting plant cell tissue organ culture method and culture reactor
JP2000031253A (en) 1998-07-10 2000-01-28 Komatsu Ltd Substrate processing device and method
JP3544481B2 (en) * 1998-11-25 2004-07-21 東芝セラミックス株式会社 Silicon carbide assembly wafer boat for semiconductor manufacturing
US6277198B1 (en) 1999-06-04 2001-08-21 Applied Materials, Inc. Use of tapered shadow clamp ring to provide improved physical vapor deposition system
US6513848B1 (en) 1999-09-17 2003-02-04 Applied Materials, Inc. Hydraulically actuated wafer clamp
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
US6726537B1 (en) * 2000-04-21 2004-04-27 Agere Systems Inc. Polishing carrier head
KR20010111058A (en) * 2000-06-09 2001-12-15 조셉 제이. 스위니 Full area temperature controlled electrostatic chuck and method of fabricating same
DE60037188T2 (en) 2000-08-29 2008-10-02 Qimonda Dresden Gmbh & Co. Ohg Method for operating a susceptor for semiconductor wafers
TW200819555A (en) 2000-09-08 2008-05-01 Tokyo Electron Ltd Shower head structure, device and method for film formation, and method for cleaning
KR100382491B1 (en) * 2000-11-28 2003-05-09 엘지전자 주식회사 shadow mask in organic electroluminescence
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
FR2818254B1 (en) 2000-12-15 2003-02-28 Immunotech Sa PACKAGING FOR PHOTOSENSITIVE COLORANTS
US6855037B2 (en) 2001-03-12 2005-02-15 Asm-Nutool, Inc. Method of sealing wafer backside for full-face electrochemical plating
DE10111761A1 (en) * 2001-03-12 2002-10-02 Infineon Technologies Ag Arrangement and method for contacting a semiconductor substrate from the rear
US6743495B2 (en) 2001-03-30 2004-06-01 Memc Electronic Materials, Inc. Thermal annealing process for producing silicon wafers with improved surface characteristics
TW550681B (en) * 2001-06-22 2003-09-01 Memc Electronic Materials Process for producing silicon on insulator structure having intrinsic gettering by ion implantation
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
US6743296B2 (en) 2001-10-12 2004-06-01 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-centering a wafer in a sputter chamber
JP2003204048A (en) * 2002-01-09 2003-07-18 Shin Etsu Handotai Co Ltd Method for manufacturing soi wafer and soi wafer
JP2003234289A (en) 2002-02-12 2003-08-22 Yoshihisa Hirose Manufacturing method of strain mitigating film, and laminate having strain mitigating film
US7232591B2 (en) * 2002-04-09 2007-06-19 Matsushita Electric Industrial Co., Ltd. Method of using an adhesive for temperature control during plasma processing
US20030209326A1 (en) * 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
JP2004146727A (en) * 2002-10-28 2004-05-20 Tokyo Seimitsu Co Ltd Transferring method of wafer
CN101713932B (en) 2002-11-12 2012-09-26 Asml荷兰有限公司 Lithographic apparatus and device manufacturing method
JP2004179452A (en) 2002-11-28 2004-06-24 Shin Etsu Handotai Co Ltd Hetero epitaxial wafer
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP4487306B2 (en) 2003-03-17 2010-06-23 Toto株式会社 Composite structure forming apparatus and forming method
JP4325242B2 (en) * 2003-03-27 2009-09-02 富士電機デバイステクノロジー株式会社 Manufacturing method of semiconductor device
DE10318284A1 (en) * 2003-04-22 2004-11-25 Forschungszentrum Jülich GmbH Process for producing a strained layer on a substrate and layer structure
JP2004342819A (en) 2003-05-15 2004-12-02 Toshiba Ceramics Co Ltd Semiconductor substrate and its producing process
JP4557505B2 (en) 2003-05-19 2010-10-06 コバレントマテリアル株式会社 Manufacturing method of semiconductor substrate
WO2004112096A2 (en) 2003-06-12 2004-12-23 Symbol Technologies, Inc. Method and system for high volume transfer of dies to substrates
US6951775B2 (en) * 2003-06-28 2005-10-04 International Business Machines Corporation Method for forming interconnects on thin wafers
US20050178336A1 (en) 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7439158B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
JP2006080481A (en) * 2004-08-11 2006-03-23 Canon Inc Semiconductor substrate and its manufacturing method
US7550309B2 (en) * 2004-09-24 2009-06-23 Shin-Etsu Handotai Co., Ltd. Method for producing semiconductor wafer
JP2006237262A (en) * 2005-02-24 2006-09-07 Tokyo Electron Ltd Heat treatment apparatus
US7642205B2 (en) 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
JP4666473B2 (en) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 Substrate heat treatment equipment
JP5050374B2 (en) 2005-05-16 2012-10-17 富士電機株式会社 Manufacturing method of semiconductor device
US7262112B2 (en) 2005-06-27 2007-08-28 The Regents Of The University Of California Method for producing dislocation-free strained crystalline films
US20070049020A1 (en) 2005-08-29 2007-03-01 Applied Materials, Inc. Method and apparatus for reducing tensile stress in a deposited layer
EP1763069B1 (en) * 2005-09-07 2016-04-13 Soitec Method for forming a semiconductor heterostructure
US20080000579A1 (en) * 2005-11-08 2008-01-03 Giovanni Bortolato Procedure and Equipment for Decoration of Objects by Sublimation Inks
TWI276535B (en) * 2005-12-07 2007-03-21 Nano Prec Corp Film attaching method and screen of rear projection television
JP4851795B2 (en) * 2006-01-13 2012-01-11 株式会社ディスコ Wafer divider
JP2007214199A (en) 2006-02-07 2007-08-23 Canon Inc Semiconductor substrate and its manufacturing method
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
EP1901345A1 (en) 2006-08-30 2008-03-19 Siltronic AG Multilayered semiconductor wafer and process for manufacturing the same
DE102006055038B4 (en) * 2006-11-22 2012-12-27 Siltronic Ag An epitaxated semiconductor wafer and apparatus and method for producing an epitaxied semiconductor wafer
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
JP2008198656A (en) * 2007-02-08 2008-08-28 Shin Etsu Chem Co Ltd Method of manufacturing semiconductor substrate
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
JP2009016524A (en) 2007-07-04 2009-01-22 Rohm Co Ltd THIN FILM DEPOSITION APPARATUS, AND ZnO-BASED THIN FILM
US8021968B2 (en) 2007-08-03 2011-09-20 Shin-Etsu Handotai Co., Ltd. Susceptor and method for manufacturing silicon epitaxial wafer
JP2009135430A (en) 2007-10-10 2009-06-18 Semiconductor Energy Lab Co Ltd Method of manufacturing semiconductor device
WO2009060913A1 (en) * 2007-11-08 2009-05-14 Sumco Corporation Method for manufacturing epitaxial wafer
JP5370850B2 (en) * 2007-11-08 2013-12-18 株式会社Sumco Epitaxial film growth method, wafer support structure, and susceptor
TW200935506A (en) * 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
EP2151852B1 (en) 2008-08-06 2020-01-15 Soitec Relaxation and transfer of strained layers
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
JP2010073782A (en) * 2008-09-17 2010-04-02 Sumco Corp Method of heat-treating semiconductor wafer
US20110214809A1 (en) 2008-11-14 2011-09-08 Tokyo Electron Limited Bonding apparatus and bonding method
JP5161748B2 (en) 2008-12-16 2013-03-13 信越半導体株式会社 Vapor growth susceptor, vapor growth apparatus, and epitaxial wafer manufacturing method
DE102009005182A1 (en) 2009-01-15 2010-07-29 Suss Microtec Test Systems Gmbh Chuck and method for holding and holding thin test substrates
IT1392678B1 (en) 2009-01-19 2012-03-16 Valeo Spa SAFETY DEVICE FOR VEHICLE HANDLES AND VEHICLE HANDLE INCLUDING THIS SAFETY DEVICE
JP5476006B2 (en) * 2009-02-13 2014-04-23 株式会社国際電気セミコンダクターサービス SUBSTRATE PROCESSING APPARATUS, SUBSTRATE HOLDER FIXING UNIT OF SUBSTRATE PROCESSING APPARATUS, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
JP2010189745A (en) 2009-02-20 2010-09-02 Sumco Corp Method of manufacturing semiconductor wafer
JP2011029303A (en) 2009-07-23 2011-02-10 Panasonic Corp Semiconductor device and method of manufacturing the same
JP2011035146A (en) 2009-07-31 2011-02-17 Toppan Printing Co Ltd Substrate holding method and substrate processing device
JP5480645B2 (en) * 2010-01-22 2014-04-23 リンテック株式会社 Support frame
US8747092B2 (en) * 2010-01-22 2014-06-10 Nanonex Corporation Fast nanoimprinting apparatus using deformale mold
JP5627649B2 (en) 2010-09-07 2014-11-19 株式会社東芝 Method for manufacturing nitride semiconductor crystal layer
JP5810517B2 (en) 2010-12-02 2015-11-11 富士電機株式会社 Adsorption device and adsorption method
JP5605264B2 (en) * 2011-02-23 2014-10-15 富士通株式会社 Film forming method and film forming apparatus
WO2012134512A1 (en) * 2011-03-28 2012-10-04 Applied Materials, Inc. Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
KR20120119781A (en) 2011-04-22 2012-10-31 삼성전자주식회사 Unit for suppporting a substrate and apparatus for treating a substrate with the unit
JP5979135B2 (en) 2011-04-26 2016-08-24 株式会社ニコン Substrate laminating apparatus, substrate holding apparatus, substrate laminating method, substrate holding method, laminated semiconductor device, and superimposed substrate
US8397540B2 (en) * 2011-05-05 2013-03-19 Corning Incorporated Methods and apparatus for reforming a glass sheet
US9224904B1 (en) * 2011-07-24 2015-12-29 Ananda Kumar Composite substrates of silicon and ceramic
JP5895676B2 (en) * 2012-04-09 2016-03-30 三菱電機株式会社 Manufacturing method of semiconductor device
US20140239529A1 (en) * 2012-09-28 2014-08-28 Nanonex Corporation System and Methods For Nano-Scale Manufacturing
US10361097B2 (en) * 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US9230862B2 (en) * 2013-05-14 2016-01-05 Texas Instruments Incorporated Wafer die separation
US10048598B2 (en) 2013-06-28 2018-08-14 Nikon Corporation Movable body apparatus, exposure apparatus, and device manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6464780B1 (en) * 1998-01-27 2002-10-15 Forschungszentrum Julich Gmbh Method for the production of a monocrystalline layer on a substrate with a non-adapted lattice and component containing one or several such layers
KR20050074980A (en) * 2002-11-19 2005-07-19 인터내셔널 비지네스 머신즈 코포레이션 Relaxed sige layers on si or silicon-on-insulator substrates by ion implantation and thermal annealing
JP2006135319A (en) * 2004-11-01 2006-05-25 Internatl Business Mach Corp <Ibm> Hetero integration type strained silicon n-type mosfet, p-type mosfet, and method of manufacturing the same

Also Published As

Publication number Publication date
CN105210172B (en) 2018-04-24
JP2018129514A (en) 2018-08-16
EP3435406B1 (en) 2021-12-15
KR20150103698A (en) 2015-09-11
TW201438135A (en) 2014-10-01
TW201830690A (en) 2018-08-16
EP3435406A1 (en) 2019-01-30
JP2018117130A (en) 2018-07-26
US9583364B2 (en) 2017-02-28
JP2021052198A (en) 2021-04-01
US11276583B2 (en) 2022-03-15
TW201436199A (en) 2014-09-16
US20190311912A1 (en) 2019-10-10
CN105210172A (en) 2015-12-30
JP6373868B2 (en) 2018-08-15
CN105144341A (en) 2015-12-09
US20190333778A1 (en) 2019-10-31
WO2014106155A2 (en) 2014-07-03
EP4002429A1 (en) 2022-05-25
JP6814841B2 (en) 2021-01-20
CN107968067A (en) 2018-04-27
US20140187023A1 (en) 2014-07-03
EP3185278B1 (en) 2018-09-26
US11282715B2 (en) 2022-03-22
WO2014106190A2 (en) 2014-07-03
US20190311913A1 (en) 2019-10-10
TWI622168B (en) 2018-04-21
EP2939257B1 (en) 2017-02-15
WO2014106190A3 (en) 2014-10-09
KR102203560B1 (en) 2021-01-15
CN116544172A (en) 2023-08-04
WO2014106177A1 (en) 2014-07-03
US11276582B2 (en) 2022-03-15
JP6861242B2 (en) 2021-04-21
JP2021044562A (en) 2021-03-18
CN108336013B (en) 2023-10-03
JP6837019B2 (en) 2021-03-03
CN108336013A (en) 2018-07-27
JP2019165242A (en) 2019-09-26
EP2939257A2 (en) 2015-11-04
US20140187022A1 (en) 2014-07-03
US20140182788A1 (en) 2014-07-03
US11764071B2 (en) 2023-09-19
EP2939258A1 (en) 2015-11-04
TWI673865B (en) 2019-10-01
JP2016511532A (en) 2016-04-14
JP6545842B2 (en) 2019-07-17
JP7082654B2 (en) 2022-06-08
EP2939258B1 (en) 2017-02-15
US10361097B2 (en) 2019-07-23
TWI629783B (en) 2018-07-11
US20190295853A1 (en) 2019-09-26
JP6314153B2 (en) 2018-04-18
JP2016503238A (en) 2016-02-01
CN107968067B (en) 2023-05-26
TW201436198A (en) 2014-09-16
CN105144341B (en) 2018-08-28
KR102224422B1 (en) 2021-03-08
JP6314154B2 (en) 2018-04-18
JP7114681B2 (en) 2022-08-08
JP2016507895A (en) 2016-03-10
EP3188224B1 (en) 2018-09-26
EP3185278A1 (en) 2017-06-28
JP2022166859A (en) 2022-11-02
JP2019178064A (en) 2019-10-17
EP3188224A1 (en) 2017-07-05
WO2014106155A3 (en) 2014-10-30
US9583363B2 (en) 2017-02-28

Similar Documents

Publication Publication Date Title
KR102203560B1 (en) Processes and apparatus for preparing heterostructures with reduced strain by radial distention
EP2050125B1 (en) Strained layers within semiconductor buffer structures
CN116544174A (en) Wafer clamping and overturning device and method
KR20140070014A (en) Epitaxial wafer and method for fabricating the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant