JP7431160B2 - 基板を処理するための方法および結合されたシートを含む物品を製造するための方法 - Google Patents

基板を処理するための方法および結合されたシートを含む物品を製造するための方法 Download PDF

Info

Publication number
JP7431160B2
JP7431160B2 JP2020533004A JP2020533004A JP7431160B2 JP 7431160 B2 JP7431160 B2 JP 7431160B2 JP 2020533004 A JP2020533004 A JP 2020533004A JP 2020533004 A JP2020533004 A JP 2020533004A JP 7431160 B2 JP7431160 B2 JP 7431160B2
Authority
JP
Japan
Prior art keywords
substrate
coating layer
gas
chuck
glass
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020533004A
Other languages
English (en)
Other versions
JP2021507106A (ja
Inventor
アディブ,カーヴェ
アラン ベルマン,ロバート
ヨン キム,デ
ジョージ マンレイ,ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of JP2021507106A publication Critical patent/JP2021507106A/ja
Application granted granted Critical
Publication of JP7431160B2 publication Critical patent/JP7431160B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0433Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0486Operating the coating or treatment in a controlled atmosphere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/08Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface
    • B05D5/083Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface involving the use of fluoropolymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2203/00Other substrates
    • B05D2203/30Other inorganic substrates, e.g. ceramics, silicon
    • B05D2203/35Glass

Description

関連出願
本願は、米国特許法第119条のもと、2017年12月15日に出願された米国仮特許出願第62/599,348号の優先権を主張し、その内容は、その全体が参照により本明細書に依拠され、援用される。
本開示は、概して、支持体上にシートを製造するための方法に関し、より詳細には、処理されたガラス支持体上に制御可能に結合されるガラスシートを製造するための方法に関する。
フレキシブル基板は、ロール・ツー・ロール加工を使用して、より安価なデバイスを製造する能力と、より薄く、より軽く、より柔軟でかつ耐久性のあるディスプレイを製造する可能性とを提示する。しかしながら、高品質のディスプレイのロール・ツー・ロール加工に必要な技術、設備およびプロセスは、まだ十分には開発されていない。パネルメーカーは、大型のガラスシートを加工するための工具類にすでにかなりの投資をしているため、シート・ツー・シート加工による、支持体へのフレキシブル基板の積層およびフレキシブル基板上でのディスプレイデバイスの製造は、より薄く、より軽くかつより柔軟なディスプレイを開発するためのより短期的な解決策を提示する。ディスプレイは、ポリマーシート、例えばポリエチレンナフタレート(PEN)上で実証されており、デバイスの作製は、PENがガラス支持体に積層されたシート・ツー・シート式であった。しかしながら、PENの温度上限により、デバイスの品質および使用可能なプロセスが制限される。さらに、ポリマー基板の透過率が高いことにより、ほぼ気密のパッケージが必要とされる有機発光ダイオード(OLED)デバイスの環境劣化がもたらされる。フィルム封入は、この制限を克服するための展望を提示しているものの、大きなボリュームで許容可能な収率を提示することはまだ実証されていない。
同様の方法で、1つ以上のガラス基板に積層されたガラス支持体を使用して、ディスプレイデバイスを製造することができる。ガラスの低い透過率と、改善された耐熱性および耐薬品性とによって、より高性能でより長い寿命のフレキシブルディスプレイが可能になると期待されている。
幾つかのデバイスでは、カラーフィルター(CF)またはアモルファスシリコンフィルムトランジスタ(a-Si TFT)が使用され、これらは、一般的に、それぞれ約250℃および350℃の温度で作製される。しかしながら、例えば、インジウムガリウム亜鉛酸化物(IGZOまたは酸化物TFT)および低温ポリシリコン(LTPS)デバイスを含む高温用途も重要である。酸化物TFT加工は、一般的に、400~450℃の温度で実施される。LTPSデバイスの作製プロセスでは、温度は、一般的に、600℃以上に達する。これらの各々の加工技術では、真空およびウェットエッチング環境も利用されることがある。これらの条件により、使用可能な材料が限定され、支持体/シートに高い要求が課せられる。したがって、望ましいのは、製造業者の既存の資本インフラを利用し、より高い処理温度で、ガラスと支持体との間の汚染または結合強度の損失なく、ガラス、すなわち厚さ0.3ミリメートル(mm)以下の厚さのガラスの加工を可能にする支持体手法であって、プロセスの終わりにガラスが支持体から容易に剥離する支持体手法である。この手法により、a)好ましくは積層の必要なく、室温で支持体とシートとの間にて自発的に結合させ、100~500mJ/mほどの十分な結合または接着エネルギーをもたらすことと、b)シートを支持体から取り外すことなく、続いて湿式および乾式加工することと、c)結合された対が、作製の熱的、化学的、真空、および湿式加工ステップに耐える能力と、d)熱処理の間に最小限のガスが放出されることと、e)加工終了時に支持体からのシートの分離が容易であることとが可能になるべきである。
商業上の1つの利点は、製造業者が、例えば、光起電(PV)構造、OLED、液晶ディスプレイ(LCD)、およびパターン化薄膜トランジスタ(TFT)電子機器のための薄いシート、例えばガラスシートの利点を得つつ、加工設備に製造業者の既存の資本投資を利用することが可能なことである。さらに、そのような手法により、結合を促進するためのガラスシートおよび支持体の洗浄および表面調製のためのプロセスを含むプロセス柔軟性が可能になる。
公知の結合方法の課題は、ポリシリコンTFTを加工するために利用される高い温度である。携帯型ディスプレイ、ノート型およびデスクトップ型ディスプレイに対するより高い画素密度、高解像度および速いリフレッシュレートの要求、ならびにOLEDディスプレイの幅広い利用は、パネルメーカーを、アモルファスシリコンTFTバックプレーンから酸化物TFTまたはポリシリコンTFTバックプレーンへと推し進めている。OLEDは電流駆動デバイスであるため、高い移動度が望ましい。ポリシリコンTFTには、ドライバおよび他の構成要素の起動を統合するという利点もある。ポリシリコンTFTプロセスでは、ドーパントの活性化にとって、理想的には600℃を超える温度の比較的高い温度が好ましい。
上記を踏まえて、高温加工を(それが使用される半導体またはディスプレイの製造プロセスに適合しないであろうガス放出なしで)含むTFTおよびフラットパネルディスプレイ(FPD)加工の厳しさに耐えることができ、それでいて、別のシートを加工するために支持体の再利用が可能になるように、シートの全領域を支持体から(一度にすべて、または部分ごとのいずれかで)取り外すことが可能な、基板対基板(例えばシート-支持体)物品が必要とされている。本明細書には、支持体とシートとの間の接着を制御し、TFTおよびFPD加工(任意の範囲およびその間の部分範囲を含む、約300℃、約400℃、約500℃、および最大少なくとも600℃の温度での加工を含む)に耐えるほどに十分強力であるが、高温加工後でさえもシートを支持体から剥離できるほどに十分弱い一時的な結合をもたらす方法が記載されている。そのように制御された結合を利用して、再利用可能な支持体を有する物品、あるいは支持体とシートとの間の制御された結合のパターン化領域を有する物品を作製することができる。より具体的には、本開示は、シートと支持体との間の、室温のファンデルワールス力結合および/または水素結合と、高温の共有結合との双方を制御するために、シート、支持体、またはその双方に設けることが可能な改質またはコーティング(以下「コーティング」)層(様々な材料および関連する表面熱処理を含む)を提供する。さらに、本開示には、シートを支持体に結合するのに役立つコーティング層を堆積させる方法、結合のためのコーティング層を調製し、コーティング層をシートおよび支持体の双方に結合する方法が記載されている。これらの方法により、結合エネルギーが高過ぎて、電子デバイスの加工後に構成要素が分離できなくならないように、また、結合エネルギーが低過ぎて、結合品質が損なわれ、それにより、電子デバイスの加工中にシートと支持体との間で剥離または流体侵入が起こり得ないように、構成要素間に結合がもたらされる。これらの方法により、低いガス放出性を示し、かつ高温加工、例えば、a-Si TFT加工、ならびに更なる加工ステップ、例えば、湿式洗浄およびドライエッチングに耐えるガラス物品も製作される。代替的な実施形態では、コーティング層を使用して、共有結合領域とともに、様々な制御された結合領域(真空加工、湿式加工、および/または超音波洗浄加工を含む様々な加工を通して支持体およびシートが十分に結合したままになる)を作製すると、更なる加工オプションをもたらすことができ、例えば、更なるデバイス加工のために物品をより小さな小片にダイシングした後でさえも、支持体とシートとの間の気密性を維持することができる。
第1の態様には、基板表面を処理するための方法であって、a.ベース基板(例えば支持体)を反応チャンバ内のチャック上に配置するステップであって、反応チャンバが、誘導結合プラズマコイルおよびガス供給入口を有し、チャックおよび誘導結合プラズマコイルが、別々に給電源に接続されている、ステップと、b.ポリマー形成フッ素ガス源をガス供給入口に供給し、ポリマー形成フッ素ガス源を反応チャンバ内に流して、チャック上に配置された基板と接触させるステップであって、ポリマー形成フッ素ガス源が、式Cのフッ素成分を含み、式中、xが1~4より選択され、yが3~8より選択され、zが0~3より選択され、xが1のとき、yが3であり、zが1である、ステップと、c.誘導結合プラズマコイルおよびチャックに電力を供給するステップと、d.ポリマー形成フッ素ガス源に由来する炭素系材料を堆積させて、基板上にコーティング層を形成するステップと、e.堆積したコーティング層を処理ガスに曝して、処理されたコーティング済みの基板を形成するステップと、f.処理されたコーティング済みの基板を反応チャンバから取り出すステップとを含む、方法がある。
第1の態様の例では、炭素系材料は、プラズマ重合フルオロポリマーを含む。
第1の態様の別の例では、プラズマ重合フルオロポリマーは、15%未満のフッ素の原子表面濃度を有する。
第1の態様の別の例では、ポリマー形成フッ素ガス源は、CHF、C、C、C、およびそれらの任意の組み合わせより選択されている。
第1の態様の別の例では、ポリマー形成フッ素ガス源は、エッチングガスを含まない。
第1の態様の別の例では、エッチングガスは、CF、SF、NF、およびそれらの任意の組み合わせのうちの少なくとも1つを含む。
第1の態様の別の例では、コーティング層は、1~10ナノメートル(nm)の範囲の平均厚さを有する。
第1の態様の別の例では、コーティング層は単層である。
第1の態様の別の例では、ベース基板はガラスであり、300μm以下の厚さを有する。
第1の態様の別の例では、炭素系材料を堆積させてコーティング層を形成するステップの間、反応チャンバは、30Torr(3999.67Pa)未満の内部圧力を有する。
第1の態様の別の例では、ステップcは、チャックに3~5W/mの電力を供給するステップを含む。
第1の態様の別の例では、チャックは、60V未満の自己バイアスで動作する。
第1の態様の別の例では、処理ガスは、窒素、酸素、水素、二酸化炭素ガス、およびそれらの組み合わせのうちの少なくとも1つである。
第1の態様の別の例では、チャックは、堆積したコーティング層を処理ガスに曝す間、150V~250Vの範囲の自己バイアスで動作する。
第1の態様の別の例では、堆積したコーティング層は、0.5~10秒にわたり処理ガスに曝される。
第1の態様の別の例では、処理されたコーティング済みの基板のコーティング層は、結合表面を有する。
第1の態様の別の例では、結合表面は、40%未満のフッ素の原子表面濃度を有し、原子表面濃度は、結合表面を第2の基板と接触させる前に、結合表面から測定される。
第1の態様の別の例では、コーティング層は、0.5nm未満の表面粗さを有する。
第1の態様の別の例では、結合表面は、30%未満のフッ素の原子表面濃度を有する。
第1の態様の別の例では、結合表面は、5%超のケイ素の原子表面濃度を有し、原子表面濃度は、結合表面を第2の基板と接触させる前に、結合表面から測定される。
第1の態様の別の例では、結合表面は、8~12%の範囲のケイ素の原子表面濃度を有する。
第2の態様では、コーティング層を第2の基板と直接結合して、物品を形成するステップであって、コーティング層が、ベース基板と第2の基板との間に配置されていて、ベース基板および第2の基板の双方と直接接触した状態にあり得るステップをさらに含む、態様1の方法が提供される。
第2の態様の例では、コーティング層と第2の基板との間の結合エネルギーは、物品を窒素雰囲気のもと750℃で10分にわたり保持した後、800mJ/m未満または約300~約800mJ/mの範囲にある。
第2の態様の別の例では、ベース基板および第2の基板はガラスである。
第3の態様には、物品を製造する方法であって、a.ベースガラス基板を反応チャンバ内のチャック上に配置するステップであって、反応チャンバが、誘導結合プラズマコイルおよびガス供給入口を有し、チャックが、第1の給電源に接続されており、誘導結合プラズマコイルが、第2の給電源に接続されており、第1および第2の給電源が、互いに異なっていて、独立している、ステップと、b.ポリマー形成フッ素ガス源をガス供給入口に供給し、ポリマー形成フッ素ガス源を反応チャンバ内に流して、チャック上に配置された基板と接触させるステップであって、ポリマー形成フッ素ガス源が、式Cのフッ素成分を含み、式中、xが1~4より選択され、yが3~8より選択され、zが0~3より選択され、xが1のとき、yが3であり、zが1である、ステップと、c.誘導結合プラズマコイルおよびチャックに電力を供給するステップであって、チャックが、60V未満の自己バイアスで動作させられる、ステップと、d.ポリマー形成フッ素ガス源に由来する炭素系材料を堆積させて、ガラス基板上にコーティング層を形成するステップと、e.堆積したコーティング層を処理ガスに曝して、処理されたコーティング済みの基板を形成するステップであって、処理されたコーティング済みの基板のコーティング層が、自由なかつ露出した結合表面を有し、結合表面が、40%未満のフッ素の原子表面濃度を有し、原子表面濃度が、結合表面を第2の基板と接触させる前に、結合表面から測定される、ステップと、f.処理されたコーティング済みの基板を反応チャンバから取り出すステップと、g.コーティング層を第2のガラス基板と直接結合して、物品を形成するステップであって、コーティング層が、ベースガラス基板と第2のガラス基板との間に配置されている、ステップとを含む方法がある。
第3の態様の例では、結合表面は、5%超のケイ素の原子表面濃度を有し、原子表面濃度は、結合表面を第2の基板と接触させる前に、結合表面から測定される。
第3の態様の別の例では、結合表面は、8~12%の範囲のケイ素の原子表面濃度を有する。
第3の態様の別の例では、結合表面は、20%未満または約10~約20%の範囲のフッ素の原子表面濃度を有する。
第3の態様の別の例では、コーティング層と第2の基板との間の結合エネルギーは、物品を窒素雰囲気のもと750℃で10分にわたり保持した後、800mJ/m未満または約300~約800mJ/mの範囲にある。
第3の態様の別の例では、チャックは、堆積したコーティング層を処理ガスに曝す間、150V~250Vの範囲の自己バイアスで動作し、堆積したコーティング層は、0.5~10秒にわたり処理ガスに曝される。
第3の態様の別の例では、ステップcは、チャックに3~5W/mの電力を供給するステップを含む。
第3の態様の別の例では、炭素系材料は、プラズマ重合フルオロポリマーを含む。
第3の態様の別の例では、プラズマ重合フルオロポリマーは、CHF-Cプラズマ重合フルオロポリマーを含む。
第3の態様の別の例では、ポリマー形成フッ素ガス源は、CHF、C、C、C、およびそれらの任意の組み合わせより選択されている。
第3の態様の別の例では、コーティング層は、1~10nmの範囲の平均厚さを有する。
第3の態様の別の例では、炭素系材料は、反応チャンバ内にてエッチングガスなしで堆積させられる。例えば、反応チャンバは、ポリマー形成フッ素ガス源のみを含み、他のガスは存在しないかまたは実質的に存在しない。
第3の態様の別の例では、エッチングガスは、CF、SF、NF、およびそれらの任意の組み合わせのうちの少なくとも1つを含む。
上記の態様(またはそれらの態様の例)のうちのいずれか1つは、単独で提供されてもよいし、上述のその態様の例のうちのいずれか1つ以上と組み合わせて提供されてもよく、例えば、第1の態様は、単独で提供されてもよいし、上述の第1の態様の例のうちのいずれか1つ以上と組み合わせて提供されてもよく、第2の態様は、単独で提供されてもよいし、上述の第2の態様の例のうちのいずれか1つ以上と組み合わせて提供されてもよく、以降同様である。
添付の図面は、本開示の原理の更なる理解をもたらすために同封されており、本明細書に組み込まれ、その一部を構成する。図面は幾つかの例を図示しており、その説明とともに、例として、その原理および動作を説明する役割を果たす。本明細書および図面に開示されている様々な特徴は、あらゆる組み合わせで使用可能であると理解されたい。非限定的な例として、様々な特徴を、本明細書において先に態様として記載されているように、互いに組み合わせることができる。
本明細書に開示されている実施形態の上記および他の特徴、態様および利点は、添付の図面を参照して以下の詳細なその説明を読むと、より良好に理解される。
支持体(第1の基板)がシート(第2の基板)に結合されており、その間にコーティング層があり、支持体およびシートと直接接触している物品の概略側面図である。 図1の物品の部分切取図である。 ベース基板上にコーティング層を堆積させるためのシステムを示す概略図である。 ベース基板上に堆積したコーティング層の結合表面の表面組成のグラフである。 ベース基板上に堆積したコーティング層の結合表面の炭素種の表面組成のグラフである。
これより、例示的な実施形態が示されている添付の図面を参照して、以下で例示的な実施形態をより十分に説明する。可能な限り、図面全体で同じ参照番号を使用して、同じまたは類似した部分を指す。しかしながら、実施形態は、多くの異なる形態を取ることができ、本明細書に記載の例に限定されると解釈されるべきではない。これらの例示的な実施形態は、この開示が十分かつ完全であり、請求項の範囲を当業者に十分に伝えるように提供される。
本明細書で使用される方向の用語(例えば、上方、下方、左右、前、後、上部、底部)は、描かれた図面に関してのみ用いられ、絶対的な向きを暗示する意図はない。
本明細書で使用されるように、「約」という用語は、分量、サイズ、配合、パラメータ、ならびに他の量および特性が正確ではなく、また正確である必要がなく、必要に応じて、許容誤差、変換率、四捨五入、測定誤差など、および当業者に公知の他の要素を反映して、近似値であっても、かつ/またはそれより大きくても、またはそれより小さくてもよいことを意味する。「約」という用語が範囲の値または終点を表す際に使用される場合、本開示は、言及される特定の値または終点を含むと理解されるべきである。本明細書における範囲の数値または終点に「約」が用いられているかどうかにかかわらず、範囲の数値または終点は、2つの実施形態を含むことを意図しており、すなわち、1つは「約」で修飾されたものであり、もう1つは「約」で修飾されていないものである。さらに、各範囲の終点は、他の終点との関係でも、また他の終点とは無関係でも、重要であると理解される。
本明細書で使用される「実質的な」、「実質的に」という用語、およびそれらの変形語は、説明される特徴が値または説明と等しいまたはほぼ等しいことを表すことを意図している。例えば、「実質的に平らな」表面は、平らまたはほぼ平らな表面を示すことを意図している。さらに、「実質的に」は、2つの値が等しいまたはほぼ等しいことを示すことを意図している。幾つかの実施形態では、「実質的に」は、互いに約10%、例えば、互いに約5%または互いに約2%の値を示し得る。
本明細書において、「実質的に」および「約」という用語は、任意の定量的比較、値、測定、または他の表現に起因し得る固有の程度の不確実性を表すために用いられ得ることに留意されたい。本明細書において、これらの用語はまた、論点の主題の基本的な機能を変化させることなく、量的表現が、述べられた参照とは異なるものになり得る程度を表すために用いられる。したがって、一例として、Bを「含まない」または「実質的に含まない」ガラスは、例えば、Bが積極的にガラスに添加またはバッチ処理されていないものの、汚染物質として非常に少量(例えば0.001モル%未満)で存在し得るガラスである。Bと同様に、酸素を「含まない」または「実質的に含まない」化合物などの他の成分は、同じ手法で特徴付けることが可能である。
ベース基板上の第2の基板(「シート」と称されることもある)の加工を可能にするための解決策がもたらされ、それにより、第2の基板、例えばガラスシートの少なくとも一部(最大すべてを含む)は、シート上で加工されたデバイスがベース基板、例えば支持体から取り外し可能であるように、「非結合」状態のままである。有利な表面形状特徴を維持するために、支持体は、一般的に、ディスプレイ等級のガラス基板である。したがって、状況によっては、支持体を一度使用した後に単に廃棄することは無駄であり、費用がかかる。したがって、ディスプレイの製造費を削減するために、支持体を再利用して1つより多くのシートを加工できることが望ましい。本開示には、高温加工を含む、加工ライン、例えばTFTの厳しい環境を通してシートを加工可能にするための物品および方法であって、高温加工が、約400℃以上の温度での加工であり、製造されるデバイスのタイプに応じて様々であり得、例えば、アモルファスシリコンまたはアモルファスインジウム・ガリウム・亜鉛酸化物(IGZO)のバックプレーン加工の場合のように約450℃まで、結晶質IGZO加工の場合のように約500~550℃まで、またはLTPSおよびTFTプロセスに一般的なように約600~650℃までの温度であり、またそれでいて、シートまたは支持体に損傷(例えば、支持体およびシートの一方が2つ以上の小片に壊れるまたは割れる)を与えることなく、シートを支持体から容易に取り外すことができ、それにより支持体を再利用することができる、物品および方法が記載されている。
物品
図1および2に示されるように、物品2、例えばガラス物品は、厚さ8を有し、厚さ18を有するベース基板(例えば支持体)10、厚さ28を有する第2の基板(例えばシート)20、および厚さ38を有するコーティング層30を含む。第2の基板20の平均厚さ28は、例えば、約300マイクロメートル(μm)以下であってよく、これには、例えば、任意の範囲およびそれらの間の部分範囲を含む、約10~約50μm、約50~約100μm、約100~約150μm、約150~約300μm、約300μm、約250μm、約200μm、約190μm、約180μm、約170μm、約160μm、約150μm、約140μm、約130μm、約120μm、約110μm、約100μm、約90μm、約80μm、約70μm、約60μm、約50μm、約40μm、約30μm、約20μm、または約10μmの厚さが含まれるが、これらに限定されることはない。
物品2は、より厚いシート、例えば、約0.4mm以上のオーダー、例えば、約0.4mm、約0.5mm、約0.6mm、約0.7mm、約0.8mm、約0.9mm、または約1.0mmの平均厚さを有するシートのために設計された設備において第2の基板20の加工を可能にするように配置されているが、第2の基板20自体は、約300μm以下である。物品2の厚さ8は、厚さ18、28、および38の合計であり、1つの設備、例えば、基板シート上に電子デバイスの構成要素を配置すべく設計された設備が加工するように設計されたより厚いシートの厚さと等しくてもよい。一例では、コーティング層の厚さ38が無視できる程度のものであると想定すると、加工設備が700μmのシートのために設計されており、シートが約300μmの厚さ28を有する場合、厚さ18は、約400μmと選択されるだろう。すなわち、コーティング層30は、一定の縮尺で描かれておらず、むしろ、説明のためだけに大幅に誇張されている。さらに、図2において、コーティング層30は、切取図で部分的に示されている。コーティング層30は、再利用可能な支持体を提供する場合、結合表面14上に均一または実質的に均一に配置することが可能である。一般的に、平均厚さ38は、ナノメートル(nm)のオーダー、例えば、約2nm~約1μm、約5nm~約250nmもしくは約20nm~約100nm、または約30nm、約40nm、約50nm、約60nm、約70nm、約80nmもしくは約90nmである。別の例では、厚さ38は、約200nm、約150nm、約100nm、約75nm、約50nm、約40nm、約30nm、約20nm、または約10nm未満であってもよい。コーティング層30の存在は、表面化学分析により、例えば飛行時間型二次イオン質量分析(ToF SIMS)またはX線光電子分光法(XPS)により検出することができる。したがって、コーティング層の結合表面上の成分の原子濃度は、例えばこれが支持体上に堆積された後、別の基板との結合前に測定することができる。
ベース基板10は、第1の表面12、結合表面14、および周囲16を有する。ベース基板10は、ガラスを含む任意の適切な材料のものであってよい。ベース基板は、(表面エネルギーおよび/または結合を、ガラス支持体に関連して以下に記載されるものと同様の方法で制御することができるため)非ガラス材料、例えば、セラミック、溶融シリカ、ガラスセラミック、シリコン、金属、またはそれらの組み合わせであり得る。基板10は、ガラス製である場合、アルミノケイ酸塩、ホウケイ酸塩、アルミノホウケイ酸塩、ソーダ石灰ケイ酸塩を含む任意の適切な組成のものであってもよく、その最終用途に応じて、アルカリ含有またはアルカリ不含のいずれかであってもよい。さらに、幾つかの例において、ベース基板結合表面は、ガラス、ガラスセラミック、または他の材料から製造されている場合、基板の下側のバルク材料上に配置される金属材料のコーティングまたは層から製作されていてもよい。厚さ18は、約0.2~約3mm、またはそれより大きくてもよく、例えば、任意の範囲およびそれらの間の部分範囲を含む、約0.2mm、約0.3mm、約0.4mm、約0.5mm、約0.6mm、約0.65mm、約0.7mm、約1.0mm、約2.0mm、または約3.0mmであるか、またはそれより大きくてもよく、上述のように、厚さ38が無視できる程度のものでない場合、厚さ28および厚さ38に依存する。幾つかの実施形態における基板10の平均厚さ18は、第2の基板20の厚さ28より大きくてもよい。幾つかの実施形態では、厚さ18は、厚さ28より小さくてもよい。幾つかの実施形態では、基板10は、示されるように、単層から製造されていてもよいし、互いに結合された複数の層(複数のシートを含む)から製造されていてもよい。本明細書で使用されるように、単層は、本明細書に記載の単一のコーティング層堆積ステップから得られる層を指す。したがって、複数のコーティング層堆積ステップにより、複数のコーティング層が得られる。さらに、ベース基板は、第1世代のサイズ以上、例えば、第2世代、第3世代、第4世代、第5世代、第8世代以上(例えば、約100mm×100mm~約3メートル×3メートル以上のシートサイズ)のものであってもよい。
第2の基板20は、第1の表面22、結合表面24、および周囲26を有する。周囲16(基板10)および26(第2の基板20)は、任意の適切な形状のものであってもよいし、互いに同じであってもよいし、互いに異なっていてもよい。さらに、第2の基板20は、ガラス、セラミック、ガラスセラミック、シリコン、金属、またはそれらの組み合わせを含む任意の適切な材料のものであってもよい。基板10について先に記載したように、第2の基板20は、ガラス製である場合、アルミノケイ酸塩、ホウケイ酸塩、アルミノホウケイ酸塩、ソーダ石灰ケイ酸塩を含む任意の適切な組成のものであってもよく、その最終用途に応じて、アルカリ含有またはアルカリ不含のいずれかであってもよい。第2の基板の熱膨張率を、高温での加工中に物品のいかなる反りも減少させるために、ベース基板の熱膨張率と実質的に同じに一致させてもよい。第2の基板20の平均厚さ28は、上述のように、約300μm以下、例えば約200μmもしくは約100μm、または上述の厚さである。さらに、第2の基板20は、第1世代のサイズ以上、例えば、第2世代、第3世代、第4世代、第5世代、第8世代以上(例えば、約100mm×100mm~約3メートル×3メートル以上のシートサイズ)のものであってもよい。
物品2は、既存の設備による加工に適合する厚さを有することができ、同様に、加工が行われる厳しい環境に耐えることができる。例えば、TFT加工は、高温(例えば、約200℃以上、300℃以上、400℃以上、および最大500℃未満)で実施されてもよい。上述のように、幾つかのプロセスについて、温度は、任意の範囲およびそれらの間の部分範囲を含む、約200℃以上、約250℃以上、約300℃以上、約350℃以上、約400℃以上、約500℃以上、約600℃以上、約700℃以上、および約750℃以下であってもよい。
物品2が加工される厳しい環境に耐えるために、結合表面14は、第2の基板20がベース基板10から自発的に分離しないような十分な強度で結合表面24に結合されるべきである。この強度は、加工中に第2の基板20が第1の基板10から分離しないように、加工中ずっと維持されるべきである。さらに、第2の基板20を第1の基板10から取り外すためには(例えば、基板10、例えば支持体を再利用可能にするには)、結合表面14は、最初に想定された結合力、および/または例えば、物品が高温、例えば、任意の範囲およびそれらの間の部分範囲を含む、約200℃以上、約300℃以上、約400℃以上、約500℃以上、約600℃以上、約700℃以上、および750℃以下の温度での加工を経る場合に生じ得るような最初に想定された結合力の変更により生じる結合力のいずれによっても、結合表面24にあまりに強く結合されるべきではない。
これらの目的の双方を達成するために、コーティング層30を使用して、結合表面14と結合表面24との間の結合強度を制御してもよい。この制御された結合力は、第2の基板20および基板10の極性および非極性の表面エネルギー成分を調整することにより制御される全接着エネルギーに対するファンデルワールス力(および/または水素結合)および共有引力(covalent attractive)エネルギーを制御することにより達成される。代替的には、コーティング層30は、一方の基板の一方の結合表面(例えば結合表面14)を完全に覆い、別の基板の別の結合表面(例えば結合表面24)に結合するためのコーティング層結合表面(一方の結合表面上の特徴とは独立した特徴を有する)を示すことがある。この制御された結合は、例えば、任意の範囲およびそれらの間の部分範囲を含む、約200℃以上の温度、幾つかの場合には、約200℃以上、約250℃以上、約300℃以上、約350℃以上、約400℃以上、約450℃以上、約500℃以上、約550℃以上、約600℃以上、約700℃以上、および750℃以下の処理温度を含むTFT加工に耐えるほど十分に強力であり、また基板を分離するのに十分であるが第2の基板20および/または基板10に著しい損傷を与えない力を加えることで剥離可能な状態のままである。例えば、この加えられる力は、第2の基板20または基板10のいずれも破壊すべきではない。そのような剥離により、第2の基板20およびその上に作製されたデバイスの取り外しが可能になり、また基板10を支持体として再利用することも可能になる。
コーティング層30は、第2の基板20と基板10との間の固体層として示されているが、そうである必要はない。例えば、コーティング層30は、約0.1nm~約1μmのオーダーの厚さ(例えば、約1nm~約10nm、約10nm~約50nm、約50nm~約100nm、約250nm、約500nm~約1μm)であってもよく、結合表面14の全部分を完全には覆わなくてもよい。例えば、結合表面14の被覆率は、任意の範囲およびそれらの間の部分範囲を含む、結合表面14の約100%以下、約1%~約100%、約10%~約100%、約20%~約90%、または約50%~約90%であってもよい。幾つかの実施形態では、コーティング層30は単層であり、他の実施形態では、コーティング層30は実質的に単層である。他の実施形態では、層30は、約50nmまでの厚さであってもよく、または他の実施形態では、約100nm~約250nmまでの厚さでさえあってもよい。コーティング層30は、基板10と第2の基板20との間に、それらと直接接触して配置されると考えてもよい。他の実施形態では、コーティング層30は、結合表面14が結合表面24と結合する能力を変化させ、それにより、基板10と第2の基板20との間の結合強度を制御する。コーティング層30の材料および厚さ、ならびに結合前の結合表面14、24の処理、および結合表面のフッ素、炭素および/またはケイ素含量の制御を利用して、基板10と第2の基板20との間の結合強度(接着エネルギー)を制御することができる。
コーティング層の組成
コーティング層に使用可能な材料の例としては、フルオロカーボン、特にフルオロカーボンポリマーが挙げられる。そのようなフルオロカーボンポリマーは、ベース基板または第2の基板のいずれかに、少なくとも1つのフルオロカーボン含有モノマー化合物を含むポリマー形成フッ素ガス源からのガスを堆積させることにより形成することができる。
ガス源としてのフルオロカーボン含有モノマーの一群は、式Cの化合物である。式中、xは1~4より選択され、zは0~3より選択され、yは3~8より選択され、xが1のとき、yは3であり、zは1である。フルオロカーボン化合物の例としては、CHF、C、C、C、およびそれらの任意の組み合わせが挙げられる。
幾つかの実施形態では、ポリマー形成フッ素ガス源としては、1つ以上のフルオロカーボン含有モノマー化合物のほかに、更なるガスが挙げられ得る。他の実施形態では、ガス源は、CF、SF、およびNFなどのエッチングガスを含まないことが好ましい。
コーティング層の堆積
本明細書に記載の物品の製造において、コーティング層は、まずベース基板上に堆積させられ得る。図3に示されるような、コーティング層の堆積のためのベース基板(例えば支持体)を製造するための例示的なプロセスでは、ベース基板を、まずロードロックモジュール100に送り、そこでベース基板の周りの圧力を真空にすることができ、ベース基板の温度を上げることができる。続いて、ベース基板は、真空で維持されている間に移送モジュール200に送られ、これにより、ベース基板は、コーティング層が堆積させられるプロセスモジュール300に移送される。
ロードロックモジュール100は、通過させることでガラスベース基板などの材料をロードロックチャンバ101に送ることができる第1のドア102を有する。ロードロックモジュール100は、ロードロックチャンバ101を外部環境から密閉するためのチャンバ壁103と、ロードロックチャンバからガスを除去するための第1の真空ポンプ104と、ガス源106からのガスをロードロックチャンバ101に導入するための第1のガス供給入口105と、ロードロックチャンバ内の材料を加熱するための加熱ランプ107と、通過させることで材料を移送モジュール200に送ることができる第2のドア202とを含む。第1のドア102および第2のドア202は、材料がそれらを通過できるように開放することができ、また外部環境からロードロックチャンバ101を密閉するために閉鎖することもできる。
移送モジュール200は、第2のドア202を介してロードロックモジュール100に接続される。移送モジュール200は第2のドア202を有し、これを通して、材料をロードロックチャンバ101から移送チャンバ201により受け取ることができる。移送モジュール200は、移送チャンバ201を外部環境から密閉するためのチャンバ壁203と、移送チャンバ201内のガスを除去するための第2の真空ポンプ204と、ガス源206からのガスを移送チャンバ201に導入するための第2のガス供給入口205と、通過させることで材料をプロセスモジュール300に送ることができる第3のドア302とを含む。第2のドア202および第3のドア302は、材料がそれらを通過できるように開放することができ、また外部環境から移送チャンバ201を密閉するために閉鎖することもできる。
プロセスモジュール300は、第3のドア302を介して移送モジュール200に接続される。プロセスモジュール300は第3のドア302を有し、これを通して、材料を移送チャンバ201からプロセスチャンバ301により受け取ることができる。プロセスモジュール300は、プロセスチャンバ301を外部環境から密閉するためのチャンバ壁303と、プロセスチャンバ301からガスを除去するための第3の真空ポンプ304と、通過させることで1つ以上の第3のガス源306a/306bからのガスをプロセスチャンバに送ることができる第3のガス供給入口305と、第3のガス供給入口305からのガスをプラズマに変換するための誘導結合プラズマ(「ICP」)コイル310と、ベース基板などの材料が置かれる静電チャック(「チャック」)308とを含む。第3のドア302は、材料がそれを通過できるように開放することができ、また外部環境からプロセスチャンバ301を密閉するために閉鎖することもできる。第3のガス供給入口305は、1種以上のガスを第3のガス供給入口305を通して同時または別々にプロセスチャンバ301に供給することができるように、複数のガス源306a/306bに接続されていてもよい。ガス源からのガスの例としては、コーティング層の作製に使用すべきフルオロカーボンガス、プロセスチャンバをパージするための窒素などのパージガス、プロセスチャンバを洗浄するための酸素などの洗浄ガス、およびアルゴンなどの不活性ガスが挙げられる。ICPコイル310およびチャック308は、電力を供給するために、好ましくは別々に(309a/309bを参照)給電源309に接続されている。プロセスモジュール300はまた、チャンバ壁303およびチャック308の温度を制御するための少なくとも1つの機構またはデバイス、例えばヒータを有する。
幾つかの実施形態では、先に記載のシステムを使用してコーティング層を堆積させる操作は、以下のステップを含み得る。第1のドア102が開き、ベース基板がロードロックチャンバ101に送られる。第1のドア102が閉じて、ロードロックチャンバ101を外部環境から密閉し、ロードロックチャンバ101内のガスが、第1の真空ポンプ104により除去され、所望の水準の真空が内部に生成される。ロードロックチャンバ101内の例示的な真空水準は、1×10-4Torr(1×1333.22-4Pa)未満、5×10-5Torr(5×1333.22-5Pa)未満、または1×10-5Torr(1×1333.22-5Pa)未満である。加熱ランプ107は、ベース基板を所望の温度に加熱するように動作させられる。ベース基板の望ましい温度の例は、約65℃~約95℃、約70℃~約90℃、または約75℃~約85℃である。第2の真空ポンプ204の動作により移送チャンバ201が所望の真空水準(例えば、ロードロックチャンバ101と同じ真空水準)にある状態で、第2のドア202が開放され、ベース基板がロードロックチャンバ101から移送チャンバ201に移送され、第2のドア202が再び閉鎖され、チャンバ201が密閉される。その後、ロードロックチャンバ101は、別のベース基板の導入に備えて、第1のガス供給入口105を通して送られる第1のガス源106からのガスで任意にパージされてもよい。第3の真空ポンプ304の動作によりプロセスチャンバ301が所望の真空水準(例えば、移送チャンバ201と同じ真空水準)にある状態で、第3のドア302が開放され、ベース基板が移送チャンバ201からプロセスチャンバ301に移送され、第3のドア302が再び閉鎖され、チャンバ301が密閉される。その後、移送チャンバ201は、別のベース基板の導入に備えて、第2のガス供給入口205を通して送られる第2のガス源206からのガスで任意にパージされ、その後、第2の真空ポンプ204で所望の真空水準に戻されてもよい。プロセスチャンバ301内では、チャンバ壁303およびチャック308が所望の温度に維持されたまま、ベース基板がチャック308上に配置され、第3のガス源306aからのポリマー形成フルオロカーボンガスが、ガス供給入口305を通して送られる。幾つかの実施形態では、チャンバ壁303は、約45℃超、約50℃超、約55℃超に保たれる。チャックは、約25℃超、約30℃超、または約35℃超に保つことが可能である。電力が、ICPコイル310に供給され、フルオロカーボンプラズマを生成するとともに、チャック308に供給され、チャック308上に配置されたベース基板に向かってフルオロカーボンプラズマを引き付ける電荷をチャック内に生成し、その結果、フルオロカーボンプラズマが、ベース基板の結合表面上に、プラズマ重合コーティング層として堆積させられる。ICPコイル310には、第1の給電源309aから約11~約17kW、約12~約16kW、または約13~約15kWで電力を供給して、ポリマー形成フッ素ガスからプラズマが生成される。チャック308には、第2の給電源309bから約1~約7W/m、約2~約7W/m、または約3~約5W/mで電力が供給される。供給された電力により、チャック308に、約35~約65V、約40~約60V、または約45~約55Vの自己バイアスが生じる。この自己バイアスにより、ベース基板のエッチングを最小限に抑えながら、ベース基板上でのコーティング層材料の効率的な蓄積が可能になる。チャック308に供給される電力バイアスは、約1~約2kV、約1.2~約1.8kV、または約1.4~約1.6kVである。コーティング層は、好ましくは、約0.1~約20nm、約0.5~約15nm、または約1~約10nmの平均厚さを有する。ベース基板は、約0.5~約10秒にわたり、または約1~約7秒にわたり、フルオロカーボンプラズマに曝され得る。
コーティング層の堆積後に、第3の真空ポンプ304が動作させられて、ポリマー形成ガス、プラズマ、および任意の残留ガスがプロセスチャンバ301から除去される。以下でより詳細に説明されるように、コーティング層の任意の表面処理の後に、第3のドア302が開放され、コーティング層が堆積させられたベース基板が、真空で維持されたまま、プロセスチャンバ301から移送チャンバ201に移送される。第3のドア302が閉鎖され、第2のドア202が開放され、コーティング層を有するベース基板が、真空で維持されたまま、移送チャンバ201からロードロックチャンバ101に移送される。第2のドア202が閉鎖され、第1のドア102が開放され、コーティング層を有するベース基板が、標準の温度および圧力条件に戻される。代替的には、基板は、移送モジュール200およびロードロックモジュール100について先に記載したものと同様の別の移送チャンバおよび別のロードロックチャンバを有する別の経路でプロセスチャンバ301から出てもよい。
コーティング層の表面エネルギー
本明細書で言及されているように、コーティング層の表面エネルギーは、コーティング層がベース基板上に存在するときの、コーティング層の露出表面(例えば結合表面)の表面エネルギーの尺度である。コーティング層は、1つの表面(極性成分および分散成分を含む)について測定して約48~約75mJ/mの範囲の表面エネルギーを有する結合表面をもたらすことができ、それにより、表面は、別の基板との非永久的な結合を生じさせる。
一般的に、コーティング層の表面エネルギーは、堆積させられてからかつ/またはさらに処理されてから測定され得る。堆積直後(層の堆積の後であり、層に適用される更なる処理なし)のコーティング層の表面エネルギーは、更なる表面活性化ステップの前には、一般的に、約48~約60mJ/mもしくは約50~約58mJ/mの範囲、または約50mJ/m以上もしくは約60mJ/m以下である。例えば、更なる処理の後に、表面エネルギーを約75mJ/m以下に増加させてもよく、これにより、ガラスシートとの自己伝播結合がもたらされ、それにより、物品を組み立てる製作時間が合理的かつコスト効率の良いものになる。また、どちらの表面エネルギー範囲(堆積直後およびさらに処理された後)も、2つのシートが互いに永久的に結合されることを防止するための高温での結合を制御するのに効果的であり得る。
固体表面の表面エネルギーは、空気中における固体表面上に個別に堆積させられた3種の液体、水、ジヨードメタンおよびヘキサデカンの静的接触角を測定することにより、間接的に測定することが可能である。本明細書に開示される表面エネルギーは、Wuモデルに従って決定した(S. Wu, J. Polym. Sci. C, 34, 19, 1971参照)。Wuモデルにおいて、全成分、極性成分、および分散成分を含む表面エネルギーは、3種の試験液体:水、ジヨードメタンおよびヘキサデカンの3つの接触角に理論モデルを合わせることにより測定される。3つの液体の接触角値から、回帰分析を行って、固体表面エネルギーの極性成分および分散成分を計算する。表面エネルギー値を計算するために使用される理論モデルは、3種の液体の3つの接触角値と、固体表面(下付き文字「S」により示される)および3種の試験液体の表面エネルギーの分散成分および極性成分とを関連付ける以下の3つの独立した式:
Figure 0007431160000001
Figure 0007431160000002
Figure 0007431160000003
を含む。
式中、下付き文字「W」、「D」および「H」は、それぞれ、水、ジヨードメタンおよびヘキサデカンを表し、上付き文字「d」および「p」は、それぞれ、表面エネルギーの分散成分および極性成分を表す。ジヨードメタンおよびヘキサデカンは、実質的に非極性液体であるため、先の一連の式は、以下:
Figure 0007431160000004
Figure 0007431160000005
Figure 0007431160000006
に変換される。
先の一連の3つの式(4~6)から、回帰分析により、固体表面の2つの未知のパラメータである分散および極性の表面エネルギー成分
Figure 0007431160000007
および
Figure 0007431160000008
を計算することができる。しかしながら、この手法には限界最大値があり、この限界最大値まで、固体表面の表面エネルギーを測定することができた。この限界最大値は水の表面張力であり、73mJ/mである。固体表面の表面エネルギーが水の表面張力よりもかなり大きい場合、この表面は、水で十分に濡れ、それにより、接触角が0に近づく。したがって、表面エネルギーのこの値を超えると、すべての計算された表面エネルギー値は、実際の表面エネルギー値にかかわらず、約73~75mJ/mに相当するであろう。例えば、2つの固体表面の実際の表面エネルギーが75mJ/mおよび150mJ/mである場合、液体の接触角を使用して計算された値は、双方の表面について、約75mJ/mとなる。
したがって、本明細書に開示されている接触角はすべて、空気中で固体表面上に液滴を配置し、固体表面と、接触線での液体空気界面との間の角度を測定することにより測定される。よって、表面エネルギー値が40mJ/m~75mJ/mであると主張される場合、これらの値は、実際の表面エネルギー値ではなく、先に記載の方法に基づいて計算された表面エネルギー値に対応すると理解されるべきであり、計算値が実際の表面エネルギー値に近づくと、75mJ/mより大きくなり得る。
コーティング層を堆積させた後に、これは、任意にアニールされてもよい。アニーリングは、コーティング層内の部分的に重合した材料を除去するのに役立ち得る。この除去により、例えば、高温での第1の基板および第2の基板の加工中のコーティング層のガス放出を大幅に減少させることができる。ガス放出のこの減少により、高温でより強い結合がもたらされ、それにより、基板の加工がより上手くいく。アニーリングは、急速熱処理システム(RTP)内で行うことが可能である。アニーリングは、100℃超、例えば、200℃超、300℃超、400℃超、500℃超、600℃超、または700℃超の温度であり得る。アニーリングは、例えば、窒素、酸素、または空気を含む雰囲気において行うことが可能である。アニーリングは、15秒以上、例えば、30秒以上、45秒以上、1分以上、2分以上、3分以上、4分以上、5分以上、6分以上、7分以上、8分以上、9分以上、または10分以上であり得る。アニーリングの時間および温度は、コーティング層の組成に応じて変わり得る。
コーティング層の表面処理
プロセスモジュールでのコーティング層の堆積後に、コーティング層の表面は、第2の基板との結合のためのより望ましい特性を達成するために、プロセスモジュールの内部で処理されてもよい。ICPコイルに電力を供給して処理ガスプラズマを生成しながら、窒素などの処理ガスをガス供給入口に通して供給すると、コーティング層の表面エネルギーを、第2の基板との結合にとってより望ましい水準まで増加させることができる。さらに、処理ガスプラズマでの処理中にチャックに電力を供給すると、コーティング層内のフッ素原子が、ドリフトを介してコーティング層と基板との界面に向かって押し出され、それによっても、コーティング層の結合表面の表面エネルギーを、第2の基板との結合にとってより望ましい水準まで増加させることができる。幾つかの実施形態では、処理後のコーティング層の表面粗さは、約0.7nm未満、約0.6nm未満、または約0.5nm未満である。処理後のコーティング層の結合表面は、40%未満、35%未満、30%未満、25%未満、20%未満、または15%未満のフッ素の原子表面濃度を有する。
表面処理後に、処理済みの基板を、プロセスモジュールから、移送モジュール、ロードロックモジュール、最終的にはシステム外に移動させることで取り出すことができる。
例示的な表面処理においては、コーティング層が堆積させられ、第3の真空ポンプ304を介してまたはプロセスモジュールのパージによりコーティング層ガスが除去された後に、処理ガスが、第3のガス源306bから、第3のガス供給入口305を介して、プロセスチャンバ301に導入される。チャンバ壁303およびチャック308は、コーティング層の堆積の間と同じ温度、例えば約60℃以上に維持される。電力が、ICPコイル310に供給され、処理ガスプラズマを生成するとともに、チャック308に供給され、チャック308に向かって処理ガスプラズマを引き付ける電荷をチャック内に生成し、その結果、処理ガスプラズマにより、ベース基板上のコーティング層の結合表面の表面エネルギーが上昇する。ICPコイル310には、第1の給電源309aから約11~約17kW、約12~約16kW、または約13~約15kWで電力が供給されて、ガスからプラズマが生成される。チャック308には、第2の給電源309bから約50~約140W/m、約55~約135W/m、または約60~約130W/mで電力が供給される。供給された電力により、チャック308内に、約150~約250V、約170~約230V、または約190~約210Vの自己バイアスが生じる。この自己バイアスによって、物理的衝撃によりコーティング層を破壊するほど高過ぎるエネルギーを有する処理ガスプラズマを生じさせることなく、コーティング層内のフッ素原子が、ドリフトを介してベース基板に向かって移動する。したがって、自己バイアスが増加(例えば150V超~200V)することにより、コーティング層に予めセットされたフッ素が、ベース基板表面の界面と反応しかつ結合し、より低い自己バイアス処理(例えば、150、125、または100V未満)に比べてフッ素が減少した、このより低い自己バイアス処理では不可能なコーティング層の結合表面上に、炭素系材料が蓄積されるか、または膜が形成される。チャック308に供給される電力バイアスは、約1~約2kV、約1.2~約1.8kV、または約1.4~約1.6kVである。処理ガスプラズマへのコーティング層の曝露は、約0.5~約10秒、好ましくは約1~約9.5秒、約2~約9秒、または約2.5~約8.5秒であり得る。コーティング層の表面処理後に、第3の真空ポンプ304が動作させられて、ガス、プラズマ、および残留ガスがプロセスチャンバ301から除去される。その後、先に記載のように、第3のドア302が開放され、表面処理されたコーティング層を有するベース基板が、真空で維持されたまま、プロセスチャンバ301から移送チャンバ201に移送される。第3のドア302が閉鎖され、第2のドア202が開放され、表面処理されたコーティング層を有するベース基板が、真空で維持されたまま、移送チャンバ201からロードロックチャンバ101に移送される。第2のドア202が閉鎖され、第1のドア101が開放され、表面処理されたコーティング層を有するベース基板が、標準の温度および圧力条件に戻される。
図4は、ベース基板上に堆積させられたコーティング層の露出した結合表面の5つの試料の原子レベルでの表面組成を示す。試料1~4は、実施例1に記載のプロセスを使用して調製および表面処理されたが、比較試料1は、比較例1に記載のプロセスを使用して調製および表面処理された。図4に見られるように、試料1~4のフッ素の原子表面濃度は、約30~約35%であるが、比較試料1のそれは、60%近くである。さらに、炭素の原子表面濃度は、試料1~4では約10~約15%であるが、比較試料1のそれは、5%近くである。窒素の原子表面濃度は、試料1~4では5%近くであるが、比較試料1のそれは、0%近くである。さらに、酸素の原子表面濃度は、試料1~4では約20~約30%であるが、比較試料1のそれは、10%近くである。ケイ素の原子表面濃度は、約8~約12%であるが、比較試料1のそれは、5%近くである。本明細書に記載の基板を処理するための方法は、コーティング層の結合表面で、40%、35%、および30%未満のフッ素濃度をもたらす可能性があると分かる。表面のフッ素濃度が低下すると、物品を750℃の温度に10分にわたり曝した後に、第2の基板の剥離可能な取り付けを促進する結合可能な表面がもたらされる。
図5は、ベース基板上のコーティング層の露出した結合表面の5つの試料およびコーティング層なしの1つのベース基板(比較試料2)の原子レベルでの炭素種の表面組成を示す。試料1~4は、実施例1に記載のプロセスを使用して調製および表面処理されたが、比較試料1は、比較例1に記載のプロセスを使用して調製および表面処理された。図5に見られるように、C-CおよびC-H種の原子表面濃度は、試料1~4では約5.8~約8%であるが、比較試料1のそれは、2.5%近くであり、比較試料2のそれは、約1.25%である。C-O、C-N、およびCH-CF種の原子表面濃度は、試料1~4では約1.5~約2%であるが、比較試料1のそれは、約0.75%であり、比較試料2のそれは、約0.4%である。さらに、C=O、C-N、およびC-CF種の原子表面濃度は、試料1~4では約1~約2%であるが、比較試料1のそれは、約0.75%であり、比較試料2のそれは、0%近くである。さらに、COOおよびCFH種の原子表面濃度は、試料1~4では約1.5~約2.25%であるが、比較試料1のそれは、0%近くであり、比較試料2のそれは、0.1%近くである。本明細書に記載の方法により、コーティング層の結合表面における炭素濃度が著しく増加すると分かる。コーティング層の結合表面での炭素濃度の増加は、減少したフッ素の量が表面に存在していることをさらに証明しており、これは、剥離可能なガラス物品を、高温、例えば600℃超で製造するのに有利である。
コーティング層へのベース基板または第2の基板の結合エネルギー
本明細書で言及されているように、コーティング層の結合エネルギーは、ベース基板と第2の基板とを結合する力の尺度である。一般的に、2つの表面間の接着エネルギー(すなわち、結合エネルギー)は、ダブルカンチレバービーム法またはウェッジ試験により測定することができる。これらの試験は、コーティング層とベース基板との間の、またはコーティング層と第2の基板との間の界面で、接着結合ジョイントに対する力および影響を質的にシミュレートする。ウェッジ試験は、一般的に、結合エネルギーを測定するために使用される。例えば、ASTM D5041, Standard Test Method for Fracture Strength in Cleavage of Adhesives in Bonded Joints、およびASTM D3762, Standard Test Method for Adhesive-Bonded Surface Durability of Aluminumは、ウェッジを有する基板の結合を測定するための標準的な試験方法である。
一例として、上述のASTM法に基づく、本明細書に開示されている結合エネルギーを特定するための試験方法の要約が、ここに開示されている。この試験方法は、試験が行われる温度および相対湿度、例えば実験室内の温度および相対湿度を記録するステップを含み得る。ベース基板と第2の基板との間の結合を破壊するために、ガラス物品の角において、第2の基板(またはシート)に穏やかに予亀裂が形成されるか、または第2の基板(またはシート)が分離される。ベース基板から第2の基板に予亀裂を形成するために、カミソリの刃、例えば、約95μmの厚さを有するGEMブランドのカミソリが使用される。予亀裂の形成の際には、結合を疲労させるために、瞬間的な持続圧力が使用される。亀裂および分離が増すように亀裂前縁が伝播するのを観察できるまで、アルミニウムタブが取り外された平らなカミソリがゆっくりと挿入される。亀裂を誘発するために、平らなカミソリを著しく挿入する必要はない。亀裂が形成されたら、ガラス物品を少なくとも5分にわたり静置して、亀裂を安定化させる。高湿度の環境の場合、例えば、相対湿度が50%超である場合、より長い静置時間が利用される。
亀裂が発生したガラス物品を顕微鏡で評価し、亀裂長さを記録する。亀裂長さは、ベース基板からの第2の基板の端部分離点(すなわち、カミソリの先端から最も離れた分離点)と、カミソリの最も近い非テーパー部分とから測定される。亀裂長さは、記録され、結合エネルギーを計算するために以下の式で使用される。
γ=3t w1 w2 /16L(Ew1 +Ew2 ) (7)
式中、γは結合エネルギーを表し、tは刃、カミソリまたはウェッジの厚さを表し、Eはベース基板(例えばガラス支持体)のヤング率を表し、tw1はベース基板の厚さを表し、Eは第2の基板(例えばガラスシート)のヤング率を表し、tw2は第2の基板の厚さを表し、Lは、先に記載のカミソリの刃の挿入の際の、ベース基板と第2の基板との間の亀裂長さを表す。
結合エネルギーは、シリコンウェーハ結合の場合のように挙動し、その場合、最初に水素結合されたウェーハ対が加熱されて、シラノール-シラノール水素結合の多くまたはすべてが、Si-O-Si共有結合に転化されると理解される。最初の室温での水素結合により約100~200mJ/mほどの結合エネルギーが生成されることで、結合した表面の分離が可能になるが、約300~約800℃ほどの加工中に達成される完全に共有結合したウェーハ対は、約2000~3000mJ/mの接着エネルギーを有するため、結合表面の分離が可能にならず、その代わりに、2つのウェーハは、モノリスとして機能する。他方で、両方の表面が、下にある基板の影響を遮蔽するほど十分に大きな厚さの低表面エネルギー材料、例えばフルオロポリマーで完全に被覆されると、接着エネルギーは、その被覆材料のものとなり、非常に低くなり、結合表面の間の接着が低くなるか、またはなくなるであろう。よって、第2の基板は、結合の破損および第2の基板への潜在的な損傷なしには、ベース基板上で加工することが不可能であろう。2つの極端な例を考える:(a)シラノール基で飽和した、標準洗浄1(当技術分野で公知のSC1)で洗浄した2つのガラス表面を、水素結合により室温で互いに結合(それにより、接着エネルギーは約100~200mJ/mである)し、続いて、シラノール基を共有Si-O-Si結合に転化させる温度に加熱した(それにより、接着エネルギーは2000~3000mJ/mになる)。この後者の接着エネルギーは、ガラス表面の対を取り外し可能にするには高過ぎる;(b)低い表面接着エネルギー(表面1つあたり約12~約20mJ/m)を有するフルオロポリマーで完全に被覆された2つのガラス表面を室温で結合し、高温に加熱した。この後者(b)の場合、表面は、(これらの表面が合わされる際の約24~約40mJ/mの総接着エネルギーが低過ぎることから)低温で結合しないのみならず、極性反応基が少な過ぎるため、高温でも結合しない。これら2つの極端な例の間、例えば約50~約1000mJ/mの間に、より望ましい程度の結合を生じさせることが可能な範囲の接着エネルギーが存在する。結果的に、発明者等は、これらの2つの極端な例の間の結合エネルギーをもたらし、それにより、厳しいTFTまたはLTPS加工を通じて互いに結合された一対のガラス基板(例えば、ガラス支持体およびガラスシート)を維持するのに十分であるのみならず、加工が完了した後に(例えば、400℃以上~750℃の高温加工の後でさえも)ベース基板から第2の基板を取り外すことも可能な程度の制御された結合を生じさせることができる、コーティング層を提供する様々な方法を発見した。さらに、ベース基板からの第2の基板の取り外しは、機械的な力により、少なくとも第2の基板に著しい損傷を与えないように、好ましくはベース基板にも著しい損傷を与えないように、実施することができる。
選択された表面改質剤、すなわち、コーティング層および/または堆積方法および結合前の表面の熱処理を利用することにより、適切な結合エネルギーを達成することができる。この適切な結合エネルギーは、結合表面14および結合表面24のいずれか一方または双方の化学改質剤の選択により達成することができ、その化学改質剤は、ファンデルワールス力(および/または水素結合、これらの用語は、明細書全体にわたり交換可能であるように使用される)接着エネルギーと、高温加工(例えば、400℃以上~750℃ほど)により生じる、起こり得る共有結合接着エネルギーとの双方を制御する。
物品の製作
物品を製作するために、コーティング層は、ベース基板、例えばベース基板10上に形成される。所望であれば、本明細書で説明されるように、コーティング層を、表面活性化などのステップにかけて、表面エネルギーを増加させ、表面からフッ素を減少させ、処理中のガス放出を低減させ、コーティング層の結合能力を改善してもよい。第2の基板、例えば第2のシートを結合するために、第2の基板は、コーティング層の露出した結合表面と接触させられる。コーティング層が十分に高い表面エネルギーを有する場合、第2の基板をコーティング層に導入すると、第2の基板が自己伝播結合を介してコーティング層に結合される。自己伝播結合は、組立時間および/またはコストを削減するのに有利である。しかしながら、自己伝播結合が生じない場合、第2の基板は、積層などの更なる技術を使用して、例えば、シートをローラーで一緒にプレスすることにより、または結合のために2片の材料を一緒にする積層の技術分野で公知の他の技術により、コーティング層に結合することが可能である。
結合エネルギーの熱試験
基板またはシートへのコーティング層の結合エネルギーは、特定の加熱条件の後に試験された(「結合エネルギー試験」)。特定の表面コーティング層が、シートを支持体に結合したままにすることを可能にし、なおも加工後にシートを支持体から分離可能にするかどうかを確認するために、以下の試験を行った。物品(コーティング層を介して支持体に結合されたシート、またはコーティング層を介して基板に結合された第2の基板)を、毎秒4℃の速度で所望の処理試験温度に上昇する炉または急速熱処理(RTP)に入れた。その後、物品を炉(所望の処理試験温度に維持)内で10分にわたり保持した。その後、炉を45分で約150℃に冷却し、試料を取り出した。その後、本明細書に記載の結合エネルギー試験に従って、物品を結合エネルギーについて試験した。
先に記載の結合エネルギーの熱試験を利用することで熱処理後に結合エネルギーがどのように増加するかを確認するために、室温での結合後に物品を熱試験にかける。幾つかの例では、物品を、不活性ガス(例えば窒素)雰囲気で10分にわたり、任意の範囲およびそれらの間の部分範囲を含む、500℃、550℃、600℃、650℃、700℃、750℃の温度で炉内に保持した後、コーティング層は、任意の範囲およびそれらの間の部分範囲を含む、900mJ/m以下、850mJ/m以下、800mJ/m以下、750mJ/m以下、700mJ/m以下、650mJ/m以下、600mJ/m以下、550mJ/m以下、または500mJ/m以下の結合エネルギーでベース基板または第2の基板に結合された結合表面を有し得る。本明細書で使用される結合エネルギーは、物品を炉室内に入れ、炉を毎分9℃の速度で試験温度(例えば600℃)に加熱し、物品を、10分にわたり、好ましくは不活性雰囲気(例えば窒素)にて試験温度で保持し、炉室を、約1分の時間をかけて約200℃に冷却し、その後、炉室から物品を取り出して、これを室温に冷却した後に測定される。物品を試験するこのプロセスは、物品を熱試験サイクルに供することと称することもできる。
コーティング層の剥離
本明細書に記載のコーティング層により、ガラス物品が上記の温度サイクルおよび熱試験にかけられた後に、第2の基板を2つ以上の小片に破壊することなく、第2の基板をベース基板から分離することが可能になる。
ガラス物品の加工
改質されたコーティング層を、本明細書に記載の結合表面の調製とともに使用すると、制御された結合領域、すなわち、物品をFPDタイプのプロセス(真空および湿式プロセスを含む)で加工するのに十分な、ベース基板と第2の基板との間の室温結合をもたらすことができる結合領域、さらには、物品の高温加工、例えばFPDタイプの加工またはLTPS加工後に、ベース基板を第2の基板から(これらの基板に損傷を与えずに)取り外すことができるようにベース基板と第2の基板との間の共有結合を(高温でさえも)制御する結合領域も達成することができる。FPD加工に適した再利用可能な支持体をもたらすであろう、潜在的な結合表面の調製、および様々な結合エネルギーを有するコーティング層を評価するために、一連の試験を使用して、それぞれの適合性を評価した。異なるFPD用途には異なる要件があるが、LTPSおよび酸化物TFTプロセスは、現段階では最も厳しいと思われる。したがって、これらのプロセスが物品2の所望の用途であることから、これらのプロセスのステップを代表する試験を選択した。酸化物TFTプロセスでは、約400℃のアニーリングが使用されるが、LTPS加工では、600℃超の結晶化およびドーパント活性化ステップが使用される。よって、以下の試験を実施して、特定の結合表面の調製およびコーティング層により、FPD加工中ずっと第2の基板をベース基板に結合したままにすることができる一方で、そのような加工(400℃以上~750℃の温度での加工を含む)後に、(第2の基板および/またはベース基板に損傷を与えずに)第2の基板をベース基板から取り外すことができる可能性について評価した。
実施例1
第1の給電源に接続されたICPコイルに接続されたガス供給入口と、第2の独立した給電源に接続されたチャックとを有するプロセスチャンバ内で、支持体(0.5mmの厚さと、質量パーセントで、61.5のSiO、19.7のAl、1.7のB、2.5のMgO、4.5のCaO、1.8のSrO、8.1のBaO、0.2のSnO、0.03のFe、0.03のZrOの公称組成とを有するガラス)をチャック上に配置した。ICPコイルの出力を14kWに設定し、CHFを、ガス供給入口およびICPコイルを通して、1250sccm(2.11Pa・m/s)の流量および約8~約10mTorr(約1066.58mPa~約1333.22mPa)の圧力(試料1の場合は約10mTorr(約1333.22mPa)、試料2の場合は約8.2mTorr(約1093.24mPa)、試料3の場合は約9.2mTorr(約1226.57mPa)、試料4の場合は約10mTorr(約1333.22mPa)である)で反応器チャンバに供給した。反応チャンバは、ポリマー形成フッ素ガスのみ含んでいた。チャックバイアス電力を125Wに設定し、電力バイアスは1.5kVであり、約50Vの自己バイアスが生じた。チャックを40℃に維持する間、反応器チャンバの壁を60℃に維持した。約7秒未満(試料1の場合は約6.5秒、試料2の場合は約1.2秒、試料3の場合は約1秒、試料4の場合は約3秒)の処理時間にわたるCHFプラズマにより、2nm未満の厚さを有する支持体上に、薄いフルオロカーボンポリマーコーティング層が堆積させられた。コーティング層の堆積後に、真空ポンプを使用して、反応器チャンバを数回ポンピングし、ガス/プラズマをパージした。ICPコイルの出力を14kWに再び設定し、反応チャンバにおいて、Nを、ガス供給入口およびICPコイル通して、1250sccm(2.11Pa・m/s)の流量および約8~約10mTorr(約1066.58mPa~約1333.22mPa)の圧力(試料1の場合は約10mTorr(約1333.22mPa)、試料2の場合は約8.2mTorr(約1093.24mPa)、試料3の場合は約9.2mTorr(約1226.57mPa)、試料4の場合は約10mTorr(約1333.22mPa)である)で反応器チャンバに供給した。チャックバイアス電力を2182~4240Wに設定し、電力バイアスは1.5kVであり、約150~250Vの範囲の自己バイアスが生じた。チャックを40℃に維持する間、反応器チャンバの壁を60℃に維持した。約8秒未満(試料1の場合は約6.5秒、試料2の場合は約2.8秒、試料3の場合は約2.3秒、試料4の場合は約8秒)の処理時間にわたるチャックのNプラズマ処理および自己バイアスにより、コーティング層の露出した表面上のF原子が、支持体/コーティング層の界面に向かってドリフトし、コーティング層の表面が、0.4nm以下の粗さ、約74mJ/mの表面エネルギー、30%未満のF含量、および10%超のSi含量に処理された。その後、フッ素が減少したコーティング層の結合表面をガラスシート(0.1mmの厚さと、質量パーセントで、62.0のSiO、18.3のAl、4.2のB、2.2のMgO、4.2のCaO、1.8のSrO、7.0のBaO、0.2のSnO、0.02のFe、0.02のZrOの公称組成とを有するガラス)と結合して、ガラス物品を形成した。ガラス物品を空気中にて600℃で10分にわたりアニーリングした後、結合エネルギーは、500~600mJ/mであった。ガラス物品の支持体およびガラスシートは、破損なく分離可能であった。
比較例1
第1の給電源に接続されたICPコイルに接続されたガス供給入口と、第2の独立した給電源に接続されたチャックとを有するプロセスチャンバ内で、支持体(0.5mmの厚さと、質量パーセントで、61.5のSiO、19.7のAl、1.7のB、2.5のMgO、4.5のCaO、1.8のSrO、8.1のBaO、0.2のSnO、0.03のFe、0.03のZrOの公称組成とを有するガラス)をチャック上に配置した。ICPコイルの出力を10kWに設定し、CFを、ガス供給入口およびICPコイルを通して、500sccm(0.85Pa・m/s)の流量および約7mTorr(約933.26mPa)の圧力で反応器チャンバに供給した。反応チャンバは、ポリマー形成フッ素ガスのみ含んでいた。チャックバイアス電力を2000Wに設定し、電力バイアスは1.5kVであり、約141Vの自己バイアスが生じた。チャックを40℃に維持する間、反応器チャンバの壁を60℃に維持した。約30秒の処理時間にわたるCFプラズマにより、2nm未満の厚さを有する支持体上に、薄いフルオロカーボンポリマーコーティング層が堆積させられた。コーティング層の堆積後に、真空ポンプを使用して、反応器チャンバを数回ポンピングし、ガス/プラズマをパージした。ICPコイルの出力を再び10kWに設定し、コーティング層の表面を処理するために、反応チャンバにおいて、CFおよびCHFを、ガス供給入口およびICPコイルを通して、それぞれ937.5sccm(1.58Pa・m/s)および312.5sccm(0.53Pa・m/s)の流量ならびに約30mTorr(約3999.67mPa)の圧力で反応チャンバに供給した。チャックバイアス電力を3000Wに設定し、電力バイアスは1.5kVであり、約190Vの自己バイアスが生じた。チャックを40℃に維持する間、反応器チャンバの壁を60℃に維持した。CFおよびCHFプラズマ処理は、約45秒の処理時間を有していた。その後、コーティング層の結合表面をガラスシート(0.1mmの厚さと、質量パーセントで、62.0のSiO、18.3のAl、4.2のB、2.2のMgO、4.2のCaO、1.8のSrO、7.0のBaO、0.2のSnO、0.02のFe、0.02のZrOの公称組成とを有するガラス)と結合して、ガラス物品を形成した。ガラス物品を空気中にて600℃で10分にわたりアニーリングした後、ガラス物品は、あまり良好に機能しなかった。
以下、本発明の好ましい実施形態を項分け記載する。
実施形態1
基板表面を処理するための方法であって、
a.ベース基板を反応チャンバ内のチャック上に配置するステップであって、前記反応チャンバが、誘導結合プラズマコイルおよびガス供給入口を含み、前記チャックおよび前記誘導結合プラズマコイルが、別々に給電源に接続されている、ステップと、
b.ポリマー形成フッ素ガス源を前記ガス供給入口に供給し、前記ポリマー形成フッ素ガス源を前記反応チャンバ内に流して、前記チャック上に配置された前記基板と接触させるステップであって、前記ポリマー形成フッ素ガス源が、式Cのフッ素成分を含み、式中、xが1~4より選択され、yが3~8より選択され、zが0~3より選択され、xが1のとき、yが3であり、zが1である、ステップと、
c.前記誘導結合プラズマコイルおよび前記チャックに電力を供給するステップと、
d.前記ポリマー形成フッ素ガス源に由来する炭素系材料を堆積させて、前記基板上にコーティング層を形成するステップと、
e.堆積した前記コーティング層を処理ガスに曝して、処理されたコーティング済みの基板を形成するステップと、
f.前記処理されたコーティング済みの基板を前記反応チャンバから取り出すステップと
を含む方法。
実施形態2
前記炭素系材料が、プラズマ重合フルオロポリマーを含む、実施形態1記載の方法。
実施形態3
前記プラズマ重合フルオロポリマーが、15%未満のフッ素の原子表面濃度を含む、実施形態2記載の方法。
実施形態4
前記ポリマー形成フッ素ガス源が、CHF、C、C、C、およびそれらの任意の組み合わせから成る群より選択されている、実施形態1から3までのいずれか1つ記載の方法。
実施形態5
前記ポリマー形成フッ素ガス源が、エッチングガスを含まない、実施形態1から4までのいずれか1つ記載の方法。
実施形態6
前記エッチングガスが、CF、SF、NF、およびそれらの任意の組み合わせのうちの少なくとも1つを含む、実施形態5記載の方法。
実施形態7
前記コーティング層が、1~10nmの範囲の平均厚さを含む、実施形態1から6までのいずれか1つ記載の方法。
実施形態8
前記コーティング層が単層である、実施形態1から7までのいずれか1つ記載の方法。
実施形態9
前記ベース基板が、300μm以下の厚さを含むガラスである、実施形態1から8までのいずれか1つ記載の方法。
実施形態10
前記炭素系材料を堆積させる前記ステップの間、前記反応チャンバが、30Torr(3999.67Pa)未満の内部圧力を含む、実施形態1から9までのいずれか1つ記載の方法。
実施形態11
ステップcが、前記チャックに3~5W/mの電力を供給するステップを含む、実施形態1から10までのいずれか1つ記載の方法。
実施形態12
前記チャックが、60V未満の自己バイアスで動作する、実施形態1から11までのいずれか1つ記載の方法。
実施形態13
前記処理ガスが、窒素、酸素、水素、二酸化炭素ガス、およびそれらの組み合わせのうちの少なくとも1つを含む、実施形態1から12までのいずれか1つ記載の方法。
実施形態14
前記チャックが、前記堆積したコーティング層を前記処理ガスに曝す間、150V~250Vの範囲の自己バイアスで動作する、実施形態1から13までのいずれか1つ記載の方法。
実施形態15
前記堆積したコーティング層が、0.5~10秒にわたり前記処理ガスに曝される、実施形態1から14までのいずれか1つ記載の方法。
実施形態16
前記処理されたコーティング済みの基板の前記コーティング層が、結合表面を含む、実施形態1から15までのいずれか1つ記載の方法。
実施形態17
前記結合表面が、40%未満のフッ素の原子表面濃度を含み、前記原子表面濃度が、前記結合表面を第2の基板と接触させる前に、前記結合表面から測定される、実施形態16記載の方法。
実施形態18
前記コーティング層が、0.5nm未満の表面粗さを含む、実施形態16または17記載の方法。
実施形態19
前記結合表面が、30%未満のフッ素の原子表面濃度を含む、実施形態16から18までのいずれか1つ記載の方法。
実施形態20
前記結合表面が、5%超のケイ素の原子表面濃度を含み、前記原子表面濃度が、前記結合表面を第2の基板と接触させる前に、前記結合表面から測定される、実施形態16から19までのいずれか1つ記載の方法。
実施形態21
前記結合表面が、8~12%の範囲のケイ素の原子表面濃度を含む、実施形態20記載の方法。
実施形態22
前記コーティング層を第2の基板と直接結合して、物品を形成するステップであって、前記コーティング層が、前記ベース基板と前記第2の基板との間に配置されている、ステップをさらに含む、実施形態1から21までのいずれか1つ記載の方法。
実施形態23
前記コーティング層と前記第2の基板との間の結合エネルギーが、前記物品を窒素雰囲気のもと750℃で10分にわたり保持した後、800mJ/m未満である、実施形態22記載の方法。
実施形態24
前記ベース基板および前記第2の基板がガラスを含む、実施形態22または23記載の方法。
実施形態25
物品を製造する方法であって、
a.ベースガラス基板を反応チャンバ内のチャック上に配置するステップであって、前記反応チャンバが、誘導結合プラズマコイルおよびガス供給入口を含み、前記チャックが、第1の給電源に接続されており、前記誘導結合プラズマコイルが、第2の給電源に接続されている、ステップと、
b.ポリマー形成フッ素ガス源を前記ガス供給入口に供給し、前記ポリマー形成フッ素ガス源を前記反応チャンバ内に流して、前記チャック上に配置された前記基板と接触させるステップであって、前記ポリマー形成フッ素ガス源が、式Cのフッ素成分を含み、式中、xが1~4より選択され、yが3~8より選択され、zが0~3より選択され、xが1のとき、yが3であり、zが1である、ステップと、
c.前記誘導結合プラズマコイルおよび前記チャックに電力を供給するステップであって、前記チャックが、60V未満の自己バイアスで動作させられる、ステップと、
d.前記ポリマー形成フッ素ガス源に由来する炭素系材料を堆積させて、前記ガラス基板上にコーティング層を形成するステップと、
e.堆積した前記コーティング層を処理ガスに曝して、処理されたコーティング済みの基板を形成するステップであって、前記処理されたコーティング済みの基板の前記コーティング層が、結合表面を含み、前記結合表面が、40%未満のフッ素の原子表面濃度を含み、前記原子表面濃度が、前記結合表面を第2の基板と接触させる前に、前記結合表面から測定される、ステップと、
f.前記処理されたコーティング済みの基板を前記反応チャンバから取り出すステップと、
g.前記コーティング層を前記第2のガラス基板と直接結合して、物品を形成するステップであって、前記コーティング層が、前記ベースガラス基板と前記第2のガラス基板との間に配置されている、ステップと
を含む方法。
実施形態26
前記結合表面が、5%超のケイ素の原子表面濃度を含み、前記原子表面濃度が、前記結合表面を第2の基板と接触させる前に、前記結合表面から測定される、実施形態25記載の方法。
実施形態27
前記結合表面が、8~12%の範囲のケイ素の原子表面濃度を含む、実施形態26記載の方法。
実施形態28
前記結合表面が、20%未満のフッ素の原子表面濃度を含む、実施形態25から27までのいずれか1つ記載の方法。
実施形態29
前記コーティング層と前記第2の基板との間の結合エネルギーが、前記物品を窒素雰囲気のもと750℃で10分にわたり保持した後、800mJ/m未満である、実施形態25から28までのいずれか1つ記載の方法。
実施形態30
前記チャックが、前記堆積したコーティング層を前記処理ガスに曝す間、150V~250Vの範囲の自己バイアスで動作し、前記堆積したコーティング層が、0.5~10秒にわたり前記処理ガスに曝される、実施形態25から29までのいずれか1つ記載の方法。
実施形態31
ステップcが、前記チャックに3~5W/mの電力を供給するステップを含む、実施形態25から30までのいずれか1つ記載の方法。
実施形態32
前記炭素系材料が、プラズマ重合フルオロポリマーを含む、実施形態25から31までのいずれか1つ記載の方法。
実施形態33
前記プラズマ重合フルオロポリマーが、CHF-Cプラズマ重合フルオロポリマーを含む、実施形態32記載の方法。
実施形態34
前記ポリマー形成フッ素ガス源が、CHF、C、C、C、およびそれらの任意の組み合わせから成る群より選択されている、実施形態25から33までのいずれか1つ記載の方法。
実施形態35
前記コーティング層が、1~10nmの範囲の平均厚さを含む、実施形態25から34までのいずれか1つ記載の方法。
実施形態36
前記炭素系材料が、前記反応チャンバ内にてエッチングガスなしで堆積させられる、実施形態25から35までのいずれか1つ記載の方法。
実施形態37
前記エッチングガスが、CF、SF、NF、およびそれらの任意の組み合わせのうちの少なくとも1つを含む、実施形態36記載の方法。

Claims (7)

  1. 基板表面を処理するための方法であって、
    a.ベース基板を反応チャンバ内のチャック上に配置するステップであって、前記ベース基板が、300μm以下の厚さを含むガラスであり、前記反応チャンバが、誘導結合プラズマコイルおよびガス供給入口を含み、前記チャックおよび前記誘導結合プラズマコイルが、別々に給電源に接続されている、ステップと、
    b.ポリマー形成フッ素ガス源を前記ガス供給入口に供給し、前記ポリマー形成フッ素ガス源を前記反応チャンバ内に流して、前記チャック上に配置された前記基板と接触させるステップであって、前記ポリマー形成フッ素ガス源が、CHF、C、C、C、およびそれらの任意の組み合わせから成る群より選択され、かつ、CF 、SF 、NF 、およびそれらの任意の組み合わせを含まない、ステップと、
    c.前記誘導結合プラズマコイルおよび前記チャックに電力を供給するステップと、
    d.前記ポリマー形成フッ素ガス源に由来する炭素系材料を堆積させて、前記基板上にコーティング層を形成するステップと、
    e.堆積した前記コーティング層を処理ガスに曝して、処理されたコーティング済みの基板を形成するステップであって、前記処理ガスが、窒素、酸素、水素、二酸化炭素ガス、およびそれらの組み合わせのうちの少なくとも1つである、ステップと、
    f.前記処理されたコーティング済みの基板を前記反応チャンバから取り出すステップと
    を含む方法。
  2. 基板表面を処理するための方法であって、
    a.ベース基板を反応チャンバ内のチャック上に配置するステップであって、前記ベース基板が、300μm以下の厚さを含むガラスであり、前記反応チャンバが、誘導結合プラズマコイルおよびガス供給入口を含み、前記チャックおよび前記誘導結合プラズマコイルが、別々に給電源に接続されている、ステップと、
    b.ポリマー形成フッ素ガス源を前記ガス供給入口に供給し、前記ポリマー形成フッ素ガス源を前記反応チャンバ内に流して、前記チャック上に配置された前記基板と接触させるステップであって、前記ポリマー形成フッ素ガス源が、CHF、C、C、C、およびそれらの任意の組み合わせから成る群より選択され、かつ、CF 、SF 、NF 、およびそれらの任意の組み合わせを含まない、ステップと、
    c.前記誘導結合プラズマコイルおよび前記チャックに電力を供給するステップと、
    d.前記ポリマー形成フッ素ガス源に由来する炭素系材料を堆積させて、前記基板上にコーティング層を形成するステップと、
    e.堆積した前記コーティング層を処理ガスに曝して、処理されたコーティング済みの基板を形成するステップであって、前記処理ガスが、窒素、酸素、水素、二酸化炭素ガス、およびそれらの組み合わせのうちの少なくとも1つである、ステップと、
    f.前記処理されたコーティング済みの基板を前記反応チャンバから取り出すステップと、
    g.前記コーティング層を前記第2のガラス基板と直接結合して、物品を形成するステップであって、前記コーティング層が、前記ベースガラス基板と前記第2のガラス基板との間に配置されている、ステップと
    を含む方法。
  3. 前記炭素系材料が、プラズマ重合フルオロポリマーを含む、請求項1または2記載の方法。
  4. 前記プラズマ重合フルオロポリマーが、15%未満のフッ素の原子表面濃度を含む、請求項3記載の方法。
  5. 前記コーティング層が、1~10nmの範囲の平均厚さを含む、請求項1からまでのいずれか1項記載の方法。
  6. 前記コーティング層が単層である、請求項1からまでのいずれか1項記載の方法。
  7. 前記炭素系材料を堆積させる前記ステップの間、前記反応チャンバが、30Torr(3999.67Pa)未満の内部圧力を含む、請求項1からまでのいずれか1項記載の方法。

JP2020533004A 2017-12-15 2018-12-13 基板を処理するための方法および結合されたシートを含む物品を製造するための方法 Active JP7431160B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762599348P 2017-12-15 2017-12-15
US62/599,348 2017-12-15
PCT/US2018/065330 WO2019118660A1 (en) 2017-12-15 2018-12-13 Method for treating a substrate and method for making articles comprising bonded sheets

Publications (2)

Publication Number Publication Date
JP2021507106A JP2021507106A (ja) 2021-02-22
JP7431160B2 true JP7431160B2 (ja) 2024-02-14

Family

ID=65237135

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020533004A Active JP7431160B2 (ja) 2017-12-15 2018-12-13 基板を処理するための方法および結合されたシートを含む物品を製造するための方法

Country Status (5)

Country Link
US (1) US11331692B2 (ja)
JP (1) JP7431160B2 (ja)
CN (1) CN111615567B (ja)
TW (1) TWI797215B (ja)
WO (1) WO2019118660A1 (ja)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100308014A1 (en) 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
WO2015113023A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
US20180269071A1 (en) 2017-03-20 2018-09-20 Lam Research Corporation Atomic layer etching of silicon nitride

Family Cites Families (386)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB952609A (en) * 1959-05-07 1964-03-18 Rank Xerox Ltd Improved image development
NL267831A (ja) * 1960-08-17
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (ja) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
JPS5614533A (en) * 1979-07-16 1981-02-12 Shin Etsu Chem Co Ltd Production of polarizing film or sheet
GB2107930B (en) * 1981-10-21 1985-06-12 Secr Defence Photoconductive strip detectors
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
JPS58222438A (ja) 1982-06-18 1983-12-24 Tdk Corp 磁気記録媒体
FR2531235B1 (fr) * 1982-07-27 1985-11-15 Corning Glass Works Verres stratifies transparents polarisants et leur procede d'obtention
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
CA2110499C (en) 1991-06-14 1998-06-23 Stanislaw L. Zukowski Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
US5461934A (en) * 1994-12-20 1995-10-31 Budd; Alexander G. Ambient air collection device for use with a self-contained breathing apparatus
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
CN1074006C (zh) 1995-10-13 2001-10-31 陶氏化学公司 涂覆的塑料基材
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO2004079826A1 (ja) 1996-10-22 2004-09-16 Mitsutoshi Miyasaka 薄膜トランジスタの製造方法、及び表示装置と電子機器
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
AU9296098A (en) 1997-08-29 1999-03-16 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US20010045351A1 (en) 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2280398A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
EP1054454A3 (en) 1999-05-18 2004-04-21 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW544727B (en) 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
JP4593049B2 (ja) 2000-02-01 2010-12-08 アナログ デバイシーズ インコーポレイテッド 静止摩擦を低減し微細加工デバイス表面を不動態化するウェハレベル処理のための方法およびそれに使用するチップ
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
EP1407501B1 (en) 2001-06-20 2009-05-20 Showa Denko K.K. Light emitting material and organic light-emitting device
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
ATE370107T1 (de) 2001-06-29 2007-09-15 Crystal Syst Beschlagungsresistente transparente artikel, stoffe, die eine hydrophile anorganische schicht hoher härte bilden und verfahren zur herstellung einer beschlagungsarmen linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
WO2004014644A1 (ja) 2002-08-07 2004-02-19 Kabushiki Kaisha Toyota Chuo Kenkyusho 密着層を備える積層体及び保護膜を備える積層体
JP2006516302A (ja) 2002-09-18 2006-06-29 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド アルキル−水素シロキサンの分解を防止する添加剤
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
TW200428538A (en) 2002-11-20 2004-12-16 Reveo Inc Method of fabricating vertical integrated circuits
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
PT1568071T (pt) 2002-11-29 2019-06-17 Fraunhofer Ges Forschung Pastilha com camada de separação e camada de suporte e seu processo de fabrico
ATE423633T1 (de) 2002-12-17 2009-03-15 Wipf Ag Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
KR101078509B1 (ko) 2004-03-12 2011-10-31 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 박막 트랜지스터의 제조 방법
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
WO2006093639A1 (en) 2005-03-01 2006-09-08 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
CN101175633B (zh) 2005-04-19 2011-12-21 宇部兴产株式会社 聚酰亚胺薄膜层合体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
CN101242951B (zh) 2005-08-09 2012-10-31 旭硝子株式会社 薄板玻璃层压体以及利用薄板玻璃层压体的显示装置的制造方法
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
DE602007013478D1 (de) 2006-02-08 2011-05-12 Semiconductor Energy Lab RFID-Vorrichtung
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
KR20090006824A (ko) 2006-05-08 2009-01-15 아사히 가라스 가부시키가이샤 박판 유리 적층체, 박판 유리 적층체를 이용한 표시 장치의제조 방법 및 지지 유리 기판
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
WO2008007622A1 (fr) 2006-07-12 2008-01-17 Asahi Glass Company, Limited substrat de verre avec verre de protection, processus de fabrication d'UN affichage EN utilisant un SUBSTRAT DE VERRE AVEC VERRE DE PROTECTION, et silicone pour papier détachable
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
JP5532918B2 (ja) 2007-03-12 2014-06-25 旭硝子株式会社 保護ガラス付ガラス基板を用いた表示装置の製造方法
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
EP2150556B1 (de) 2007-04-26 2011-01-12 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
CN101679109B (zh) 2007-06-20 2011-11-09 旭硝子株式会社 采用氟化剂的氧化物玻璃的表面处理方法
TWI418602B (zh) 2007-06-25 2013-12-11 Brewer Science Inc 高溫旋塗暫時結合組成物
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035721A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
WO2009085362A2 (en) 2007-10-05 2009-07-09 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
CA2711266A1 (en) 2008-01-24 2009-07-30 Brewer Science Inc. Method for reversibly mounting a device wafer to a carrier substrate
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
KR20140106647A (ko) 2008-02-05 2014-09-03 세인트-고베인 퍼포먼스 플라스틱스 코포레이션 다층 물품
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
CN101980861B (zh) 2008-04-08 2014-08-06 亚利桑那董事会,代表亚利桑那州立大学行事的亚利桑那州法人团体 用于降低半导体加工期间挠性基材的翘曲度和弯曲度的组件和方法
KR20110007134A (ko) 2008-04-17 2011-01-21 아사히 가라스 가부시키가이샤 유리 적층체, 지지체를 부착한 표시 장치용 패널 및 이들의 제조 방법
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
KR20110055728A (ko) 2008-09-12 2011-05-25 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 가요성 기판을 경질 캐리어에 부착하기 위한 방법 및 결과 장치
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
US8840999B2 (en) 2008-11-19 2014-09-23 Dow Corning Toray Company, Ltd. Silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
US8455872B2 (en) 2008-12-05 2013-06-04 Koninklijke Philips Electronics N.V. Electronic devices having plastic substrates
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
CA2751881C (en) 2009-02-27 2013-09-17 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
KR101561729B1 (ko) 2009-05-06 2015-10-19 코닝 인코포레이티드 유리 기판의 캐리어
JP5578174B2 (ja) 2009-05-08 2014-08-27 日立化成株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
CN102596565B (zh) 2009-08-27 2014-09-10 旭硝子株式会社 挠性基材-支撑体的层叠结构体、带有支撑体的电子装置用面板、以及电子装置用面板的制造方法
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
KR101723254B1 (ko) 2009-09-08 2017-04-04 도요보 가부시키가이샤 유리/수지 적층체 및 그것을 사용한 전자 디바이스
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
CN102725368B (zh) 2009-09-11 2015-05-06 汉高知识产权控股有限责任公司 用于聚合物粘合的组合物
JP5510901B2 (ja) 2009-09-18 2014-06-04 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
CN102576106B (zh) 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
WO2011048978A1 (ja) 2009-10-20 2011-04-28 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
RU2593841C2 (ru) 2009-12-17 2016-08-10 Керамтек Гмбх Кондиционирование поверхности для улучшения адгезии костного цемента к керамическим субстратам
KR101899412B1 (ko) 2010-01-12 2018-09-17 니폰 덴키 가라스 가부시키가이샤 유리 필름 적층체와 그 제조 방법 및 유리 필름의 제조 방법
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
CN102883879B (zh) 2010-05-11 2015-06-17 旭硝子株式会社 层叠体的制造方法和层叠体
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
EP2511092B1 (en) 2010-07-28 2015-01-28 Nippon Electric Glass Co., Ltd. Glass film laminate
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
JP5899220B2 (ja) 2010-09-29 2016-04-06 ポスコ ロール状の母基板を利用したフレキシブル電子素子の製造方法、フレキシブル電子素子及びフレキシブル基板
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
US8398873B2 (en) 2011-02-01 2013-03-19 Micro Technology Co., Ltd. Thin-sheet glass substrate laminate and method of manufacturing the same
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
KR20140018937A (ko) 2011-04-22 2014-02-13 아사히 가라스 가부시키가이샤 적층체, 그 제조 방법 및 용도
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
US9827757B2 (en) 2011-07-07 2017-11-28 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
WO2013044941A1 (en) 2011-09-27 2013-04-04 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
JP5924344B2 (ja) 2011-10-18 2016-05-25 旭硝子株式会社 積層体、積層体の製造方法、および、電子デバイス用部材付きガラス基板の製造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
WO2013119737A2 (en) 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
KR20150023312A (ko) 2012-05-29 2015-03-05 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
EP2885253B1 (en) 2012-08-17 2021-06-02 Corning Incorporated Ultra-thin strengthened glasses
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
CN107265844B (zh) 2012-09-28 2020-04-14 Hoya株式会社 外置保护罩玻璃及其制造方法、玻璃基板、保护罩玻璃
TWI615367B (zh) 2012-10-12 2018-02-21 康寧公司 具有保留強度之物品
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
TW201429708A (zh) 2012-12-13 2014-08-01 Corning Inc 玻璃及製造玻璃物品的方法
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US10060851B2 (en) * 2013-03-05 2018-08-28 Plexense, Inc. Surface plasmon detection apparatuses and methods
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
CN105658594B (zh) 2013-03-15 2019-01-04 康宁股份有限公司 玻璃片的整体退火
WO2014142280A1 (ja) 2013-03-15 2014-09-18 日産自動車株式会社 非水電解質二次電池
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
WO2014163035A1 (ja) 2013-04-02 2014-10-09 旭硝子株式会社 被膜付きガラス基板およびその製造方法
KR102070617B1 (ko) * 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
TWI654088B (zh) 2014-01-27 2019-03-21 美商康寧公司 用於聚合物表面與載具之受控接合之物件及方法
US10046542B2 (en) 2014-01-27 2018-08-14 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
KR20160119080A (ko) 2014-02-07 2016-10-12 아사히 가라스 가부시키가이샤 유리 적층체
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
TWI649192B (zh) 2014-04-10 2019-02-01 日商Agc股份有限公司 Glass laminate, method of manufacturing same, and method of manufacturing electronic component
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
JPWO2015163134A1 (ja) 2014-04-25 2017-04-13 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
US9790593B2 (en) 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
CN106573443B (zh) 2014-08-01 2018-09-25 Agc株式会社 带无机膜的支撑基板及玻璃层叠体、以及它们的制造方法及电子器件的制造方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
TW201716245A (zh) * 2015-08-05 2017-05-16 康寧公司 用於將片材與載體鍵結之物件及方法
JP6123919B2 (ja) 2016-01-07 2017-05-10 住友ベークライト株式会社 化粧板
US20190184686A1 (en) 2016-08-22 2019-06-20 Corning Incorporated Articles of controllably bonded sheets and methods for making same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100308014A1 (en) 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
WO2015113023A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
JP2017511756A (ja) 2014-01-27 2017-04-27 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための表面改質層の処理
US20180269071A1 (en) 2017-03-20 2018-09-20 Lam Research Corporation Atomic layer etching of silicon nitride

Also Published As

Publication number Publication date
TWI797215B (zh) 2023-04-01
CN111615567B (zh) 2023-04-14
TW201936271A (zh) 2019-09-16
US20210187546A1 (en) 2021-06-24
WO2019118660A1 (en) 2019-06-20
US11331692B2 (en) 2022-05-17
CN111615567A (zh) 2020-09-01
JP2021507106A (ja) 2021-02-22

Similar Documents

Publication Publication Date Title
US20210362470A1 (en) Siloxane plasma polymers for sheet bonding
US10538452B2 (en) Bulk annealing of glass sheets
EP3584229B1 (en) Method for controlled bonding of glass sheets with carriers
EP2932540B1 (en) Methods for processing oled devices
US20150329415A1 (en) Glass and methods of making glass articles
TWI679175B (zh) 玻璃片之大量退火
US20150099110A1 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
US20190184686A1 (en) Articles of controllably bonded sheets and methods for making same
US20150306847A1 (en) Facilitated Processing for Controlling Bonding Between Sheet and Carrier
JP7431160B2 (ja) 基板を処理するための方法および結合されたシートを含む物品を製造するための方法
TW201716245A (zh) 用於將片材與載體鍵結之物件及方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230517

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230925

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240201

R150 Certificate of patent or registration of utility model

Ref document number: 7431160

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150