TWI679175B - 玻璃片之大量退火 - Google Patents

玻璃片之大量退火 Download PDF

Info

Publication number
TWI679175B
TWI679175B TW106121917A TW106121917A TWI679175B TW I679175 B TWI679175 B TW I679175B TW 106121917 A TW106121917 A TW 106121917A TW 106121917 A TW106121917 A TW 106121917A TW I679175 B TWI679175 B TW I679175B
Authority
TW
Taiwan
Prior art keywords
carrier
sheet
glass
bonding
surface modification
Prior art date
Application number
TW106121917A
Other languages
English (en)
Other versions
TW201736292A (zh
Inventor
貝爾曼羅伯特艾倫
Robert Alan Bellman
布克拜得德納克雷格
Dana Craig Bookbinder
曼利羅伯喬治
Robert George Manley
瑪贊德普蘭汀
Prantik Mazumder
Original Assignee
美商康寧公司
Corning Incorporated
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/047,251 external-priority patent/US9340443B2/en
Application filed by 美商康寧公司, Corning Incorporated filed Critical 美商康寧公司
Publication of TW201736292A publication Critical patent/TW201736292A/zh
Application granted granted Critical
Publication of TWI679175B publication Critical patent/TWI679175B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Laminated Bodies (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)

Abstract

本發明提供表面改質層(30)及相關之熱處理,該等表面改質層(30)及相關之熱處理可經提供在片材(20)、載體(10)或片材(20)及載體(10)兩者上,以控制薄片與載體之間的室溫凡得瓦(及/或氫)結合以及高溫共價結合。室溫結合可經控制以便足以在例如真空處理、濕式處理及/或超音波清洗處理期間將薄片與載體固持在一起。且同時,高溫共價結合經控制,以防止高溫處理期間薄片與載體之間的永久性結合,以及維持充分之結合以防止高溫處理期間的脫層。

Description

玻璃片之大量退火
本發明係針對用於處理載體上之可撓性片材的物件及方法,且更具體而言,係針對用於處理玻璃載體上之可撓性玻璃片的物件及方法。
可撓性基板提供獲得使用捲軸式處理的較便宜之裝置的希望,以及製造更薄、更輕、更具可撓性及耐久之顯示器的可能性。然而,高品質顯示器之捲軸式處理所需的技術、設備及製程尚未經完全開發。自面板製造商已大量投資工具集以處理大型玻璃片以後,將可撓性基板積層至載體及藉由單片連續式處理製造顯示器裝置提供了更短期之解決方案,以推進更薄、更輕及更具可撓性之顯示器的價值主張。顯示器已經在例如聚萘二甲酸乙二酯(polyethylene naphthalate;PEN)的聚合物片材上得以證實,其中,裝置製造為單片連續式的,PEN經積層至玻璃載體。PEN之溫度上限限制裝置品質以及可使用之製程。此外,聚合物基板之高滲透性導致OLED裝置之環境退化,在該等OLED裝置中需要接近密閉之封裝。薄膜封裝提供克服此限制之希望,但薄膜封裝尚未經證實可提供大量可接受產率。
以類似方式,可使用經積層至一或多個薄玻璃基板的玻璃載體製造顯示器裝置。預期薄玻璃之低滲透性以及改良之耐溫性及耐化學性將使得更高性能、更長壽命之可撓性顯示器成為可能。
然而,熱、真空、溶劑與酸以及超音波平板顯示器(Flat Panel Display;FPD)製程需要用於將薄玻璃結合至載體的穩固結合。FPD製程典型地涉及真空沉積(濺鍍金屬,透明導電氧化物及氧化物半導體,非晶矽、氮化矽及二氧化矽之化學氣相沉積(CVD),以及金屬及絕緣體之乾式蝕刻)、熱製程(包括~300℃至400℃之CVD沉積、高達600℃之p-Si結晶、350℃至450℃之氧化物半導體退火、高達650℃之摻雜物退火以及~200℃至350℃之接觸退火)、酸性蝕刻(金屬蝕刻、氧化物半導體蝕刻)、溶劑暴露(剝除光阻劑、聚合物封裝之沉積)以及超音波暴露(在光阻劑之溶劑剝除中及水清洗中,典型地在鹼性溶液中)。
黏著劑晶圓接合廣泛地用於微機械系統(MEMS)中以及後端步驟之半導體處理中,在該等後端步驟中,製程較不嚴酷。由Brewer Science及Henkel製造之商業黏著劑為典型之厚聚合物黏著層(5微米至200微米厚)。此等層之大厚度使得可能出現大量揮發物、捕獲之溶劑以及吸附之物種污染FPD製程。此等材料在高於~250℃時熱分解並排氣。由於充當可在後續製程中排氣之用於氣體、溶劑及酸的儲集槽,該等材料亦可能在下游步驟中造成污染。
於2012年2月8日申請之題為Processing Flexible Glass with a Carrier 的美國臨時申請案第61/596,727號(下文稱US '727)揭示:該申請案中之概念涉及:首先藉由凡得瓦力使薄片(例如,可撓性玻璃片)結合至載體;然後在某些區域增大結合強度,同時保持能夠在處理薄片/載體以在薄片/載體上形成裝置(例如,電子裝置或顯示器裝置、電子裝置或顯示器裝置之組件、有機發光裝置(OLED)材料、光伏(PV)結構,或薄膜電晶體)之後移除薄片之部分的能力。將薄玻璃的至少一部分結合至載體,以使得防止裝置製程流體進入薄片及載體之間,由此減小污染下游製程之機會,亦即,薄片與載體之間的經結合密封部分為密閉的,且在一些較佳之實施例中,此密封包圍物件之外部,從而防止液體或氣體侵入或逸出密封物件之任何區域。
US '727繼續揭示在低溫多晶矽(LTPS)(相較於固相結晶化處理之低溫,該固相結晶化處理之溫度可高達約750℃)裝置製造製程中,可使用接近600℃或更高之溫度、真空及濕式蝕刻環境。此等條件限制可使用之材料,以及造成對載體/薄片之較大需求。因此,需要一種載體方法,該載體方法使用製造商現有之資本基礎設施,使得能夠在較高處理溫度下在不造成薄玻璃與載體之間的污染或結合強度之損失的情況下對薄玻璃(亦即,具有≦0.3 mm厚之厚度的玻璃)進行處理;且其中在製程結束時,薄玻璃易於從載體脫離。
US '727中所揭示之方法的一個商業優點為:如US '727所提及,製造商將能夠在處理設備中使用該等製造商現有之資本投資,同時獲得薄玻璃片在(例如)PV、OLED、LCD及圖案化薄膜電晶體(TFT)電子設備方面的優點。另外,彼方法使得製程具有靈活性,包括:對薄玻璃片及載體進行清洗及表面處理以促進結合的製程靈活性;增強結合區域處薄片與載體間之結合的製程靈活性;在非結合(或降低之/低強度的結合)區域處維持薄片自載體之可移除性的製程靈活性;以及切割薄片以便自載體取出的製程靈活性。
在玻璃與玻璃結合製程中,清洗玻璃表面以移除所有金屬殘留物、有機殘留物及微粒殘留物,及產生主要由矽醇封端之表面。首先將玻璃表面進行緊密接觸,其中凡得瓦力及/或氫結合力將該等玻璃表面牽拉至一起。在熱量下及視情況在壓力下,表面矽醇基縮合以在界面上形成強力共價Si-O-Si鍵,從而永久熔合玻璃件。金屬殘留物、有機殘留物及微粒殘留物將藉由掩蓋表面來防止結合所需之緊密接觸,從而防止結合。亦需要之高矽醇表面濃度以形成強力結合,因為每單位面積之鍵數目將藉由相對表面上之兩個矽醇物種反應以縮合得到水的機率決定。Zhuravlel已報告,充分水合之二氧化矽中每平方奈米之羥基的平均數目為4.6至4.9。Zhuravlel, L. T. 之The Surface Chemistry of Amorphous Silika, Zhuravlev Model , Colloids and Surfaces A:Physiochemical Engineering Aspects 173(2000) 1-38。在US '727中,非結合區域形成於結合之周邊內,且所述之用於形成該類非結合區域之主要方式為增加表面粗糙度。大於2 nm之平均表面粗糙度Ra可防止玻璃與玻璃之結合在結合製程之高溫期間形成。在由相同發明者於2012年12月13日申請且題為Facilitated Processing for Controlling Bonding Between Sheet and Carrier 的美國臨時專利申請案第61/736,880號(下文稱US '880)中,受控結合區域藉由控制載體與薄玻璃片之間的凡得瓦及/或氫結合形成,但同樣仍使用共價結合區域。因此儘管US '727及US '880中的用於用載體處理薄片之物件及方法能夠經受FPD處理之嚴酷環境,但對於一些應用而言,令人不滿意的是,載體之再用因結合區域中薄玻璃與玻璃載體之間的強力共價結合而受阻,該結合區域藉由共價(例如Si-O-Si)結合以~1000 mJ/m2 至2000 mJ/m2 之附著力結合,該附著力近似於玻璃之斷裂強度。不可使用撬動或剝離以將薄玻璃的共價結合部分與載體分離,且因此不能自載體移除整塊薄片。相反,其上具有裝置的非結合區域經劃線並經取出,留下載體上薄玻璃片的結合周邊。
根據上述內容,需要一種薄片-載體物件,該薄片-載體物件可經受FPD處理之嚴酷,包括高溫處理(在不排氣的情況下,該排氣原本與將使用排氣之半導體或顯示器製造製程不相容);該物件還可允許薄片之整個區域自載體移除(一次性全部移除或分部分移除),以便允許再用載體處理另一薄片。本說明書描述控制載體與薄片之間的黏著以產生暫時結合的方法,該暫時結合足夠強力以通過FPD處理(包括LTPS處理),但足夠薄弱以便允許片材甚至在高溫處理之後自載體脫離。該受控結合可用以產生具有可再用之載體的物件,或者在載體與片材之間具有受控結合及共價結合之圖案化區域的物件。更具體而言,本揭示案提供表面改質層(包括各種材料及相關表面熱處理),該表面改質層可提供在薄片、載體或薄片及載體兩者上以控制薄片與載體之間的室溫凡得瓦結合及/或氫結合以及高溫共價結合。更具體而言,室溫結合可經控制以便足以在真空處理、濕式處理及/或超音波清洗處理期間將薄片與載體固持在一起。且同時,高溫共價結合可經控制,以防止在高溫處理期間薄片與載體之間的永久性結合,以及維持充分結合以防止高溫處理期間的脫層。在替代性實施例中,表面改質層可用以產生各種受控結合區域(其中載體及薄片經由各種製程保持充分結合,該等製程包括真空處理、濕式處理及/或超音波清洗處理)以及共價結合區域以提供進一步之處理選項,例如甚至在將物件分割成小塊以用於額外的裝置處理之後維持載體與片材之間的密閉性。更進一步,一些表面改質層提供對載體與片材之間的結合的控制,而同時減少FPD(例如LTPS)處理環境中之嚴酷條件下的排氣排放,該FPD處理環境包括例如高溫處理及/或真空處理。
將於隨後之詳細描述中闡述額外特徵及優點,且對於熟悉此項技術者而言,該等額外之特徵及優點將部分地根據描述顯而易見或藉由實踐如書面說明及隨附圖式所例示之各種態樣而瞭解。應理解,前述一般描述與以下詳細描述均僅為各種態樣之示例,且意在提供用於理解所主張之發明之本質及特徵的概述或框架。
包括隨附圖式以提供對於本發明之原理的進一步理解,且隨附圖式併入本說明書中並構成本說明書之一部分。圖式圖示一或多個實施例,且與描述一起用於以實例之方式解釋本發明之原理及操作。應理解,本說明書及圖示中所揭示之各種特徵可以任何組合及所有組合使用。藉由非限制性實例,各種特徵可如說明書結尾所闡述地彼此組合以作為態樣。
在隨後之詳細描述中,出於說明且並非限制之目的,揭示具體細節之示例性實施例經闡述以提供對於本發明之各種原理的透徹理解。然而,受益於本揭示案,對於熟悉此項技術者而言顯而易見的是,本發明可在脫離本文所揭示之具體細節的其他實施例中得以實踐。此外,對於熟知之裝置、方法及材料的描述可省略,以免使得對於本發明之各種原理的描述複雜難懂。最後,在任何適用之處,相同之元件符號指示相同元件。
本文中之範圍可表達為「約」一個特定值,及/或至「約」另一特定值。當表達該範圍時,另一實施例包括自一個特定值及/或至另一個特定值。類似地,當使用先行詞「約」將值表達為近似值時,將理解,該特定值形成另一實施例。將進一步理解,範圍中之每一者之端點顯著與另一端點相關且獨立於另一端點。
如本文所使用之方向術語(例如,上、下、右、左、前、後、頂部、底部)僅參照所繪製之圖式使用,且不意欲暗示絕對方向。
如本文所使用,除非上下文另作明確指示,單數形式「一」、「一個」及「該」包括複數個指示物。因此,除非上下文另作明確指示,例如,對「組件」之引用包括具有兩個或更多個該等組件的態樣。
在2012年2月8日申請之題為Processing Flexible Glass with a Carrier 的US 61/596,727及於2012年12月13申請之題為Facilitated Processing for Controlling Bonding Between Sheet and Carrier 的US61/736,880中,均提供了用於允許在載體上處理薄玻璃片的解決方案,藉由該等方案,薄玻璃片之至少一些部分保持「未結合」,以使得在薄玻璃片上處理之裝置可自載體移除。然而,經由共價Si-O-Si鍵之形成,薄玻璃之周邊永久地(或共價地,或密閉地)結合至載體玻璃。此共價結合之周邊防止載體之再用,因為無法在不損壞薄玻璃及載體之情況下,在此永久結合之區域移除薄玻璃。
為維持有利之表面形狀特性,載體典型地為顯示器等級之玻璃基板。因此,在一些情況下,僅一次使用後就丟棄載體是浪費且昂貴的。因而,為減少顯示器製造之成本,可取的是能夠再用載體以處理一個以上之薄片基板。本揭示案闡述用於使薄片能夠經由FPD處理線之嚴酷環境處理的物件及方法,該FPD處理線包括高溫處理,其中高溫處理為在≧400℃之溫度下的處理,且高溫處理可視所製造之裝置之類型而變化,例如,在非晶矽或非晶銦鎵鋅氧化物(IGZO)背板處理中,溫度高達約450℃,在結晶IGZO處理中,溫度高達約500℃至550℃,或在LTPS製程中溫度典型地高達約600℃至650℃;且該物件及方法還允許容易地自載體移除薄片而不損壞薄片或載體(例如,其中載體或薄片中之一者破裂或分裂為兩片或或更多片),由此載體可再用。
如第1圖及第2圖所示,玻璃物件2具有厚度8,且包括具有厚度18之載體10、具有厚度28之薄片20(亦即,具有≦300微米之厚度之薄片,該厚度包括但不僅限於以下厚度:例如,10微米至50微米、50微米至100微米、100微米至150微米、150微米至300微米、300微米、250微米、200微米、190微米、180微米、170微米、160微米、150微米、140微米、130微米、120微米、110微米、100微米、90微米、80微米、70微米、60微米、50微米、40微米、30微米、20微米或10微米)以及具有厚度38之表面改質層30。玻璃物件2經設計允許在設備中處理薄片20,該設備經設計用於較厚片材(亦即,近似≧0.4 mm之彼等片材,例如0.4 mm、0.5 mm、0.6 mm、0.7 mm、0.8 mm、0.9 mm或1.0 mm),儘管薄片20自身為≦300微米。換言之,厚度8經設計等於較厚片材之厚度,該厚度8為厚度18、28及38之總和,一件設備(例如,經設計以將電子裝置組件安置在基板片上之設備)經設計以處理該較厚片材。例如,若處理設備經設計用於700微米之片材,且薄片具有300微米之厚度28,假設厚度38可忽略,則厚度18將經選定為400微米。換言之,表面改質層30未按比例圖示;相反,僅為說明起見,該表面改質層30經很大程度地誇示。另外,表面改質層以剖面圖示。實際上,當提供可再用之載體時,表面改質層將均勻地安置在結合表面14上。典型地,厚度38將為奈米數量級的,例如0.1 nm至2.0 nm,或高達10 nm,且在一些實例中可高達100 nm。厚度38可藉由橢圓偏光計量測。另外,表面改質層之存在可藉由表面化學分析檢測,例如藉由ToF Sims質譜法。因此,厚度38對物件厚度8之作用為微小的且在用於決定用於處理具有厚度28之指定薄片20的載體10的適當厚度18之計算中可忽略。然而,在表面改質層30具有任何有效厚度38的情況下,在針對薄片20之指定厚度28以及處理設備經設計用於之指定厚度而確定載體10之厚度18時,該厚度38應被計算在內。
載體10具有第一表面12、結合表面14、周邊16以及厚度18。此外,載體10可為任何適當之材料,包括例如玻璃。載體不必為玻璃,而替代地可為陶瓷、玻璃陶瓷或金屬(因為可以與以下關於玻璃載體所描述之方式類似的方式控制表面能及/或結合)。若由玻璃製成,載體10可具有任何適當之組成物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽及鈉鈣矽酸鹽,且視載體10之最終應用而定,載體10可含鹼或不含鹼。厚度18可為約0.2 mm至3 mm或更大,例如0.2 mm、0.3 mm、0.4 mm、0.5 mm、0.6 mm、0.65 mm、0.7 mm、1.0 mm、2.0 mm或3 mm或更大,且如上所述,當厚度38不可忽略時,厚度18將視厚度28及厚度38而定。另外,載體10可由如圖所示之一個層或結合在一起之多個層(包括多個薄片)製成。此外,載體可具有Gen 1尺寸或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,100 mm x 100 mm至3米x 3米或更大之片材尺寸)。
薄片20具有第一表面22、結合表面24、周邊26及厚度28。周邊16及26可具有任何適當之形狀,可彼此相同,或可彼此不同。此外,薄片20可為任何適當材料,例如,包括玻璃、陶瓷或玻璃陶瓷。當由玻璃製成時,薄片20可具有任何適當之組成物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽及鈉鈣矽酸鹽,且視薄片20之最終應用而定,薄片20可含鹼或不含鹼。薄片之熱膨脹係數可與載體之熱膨脹係數相對緊密地匹配,以防止高溫下之處理期間物件之翹曲。如上所述,薄片20之厚度28為300微米或更小。此外,薄片可具有Gen 1尺寸或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,100 mm x 100 mm至3米x 3米或更大之片材尺寸)。
物件2不僅需要具有適當厚度以便在現有設備中進行處理,物件2亦需能夠通過發生處理之嚴酷環境。例如,平板顯示器(FPD)處理可包括濕式超音波處理、真空處理及高溫(例如,≧400℃)處理。如上所述,對於一些製程,溫度可為≧500℃,或≧600℃,及高達650℃。
如(例如)在FPD製造中,為通過將處理物件2之嚴酷環境,結合表面14應以足夠之強度結合至結合表面24,以使薄片20不與載體10分離。且應貫穿處理維持此強度,以使薄片20在處理期間不與載體10分離。此外,為允許薄片20從載體10移除(以便載體10可再用),結合表面14不應藉由初始設計之結合力及/或藉由如(例如)物件在高溫(例如,≧400℃之溫度)下經受處理時可能發生的對初始設計之結合力之修改所產生的結合力,過於強力地與結合表面24結合。表面改質層30可用以控制結合表面14與結合表面24之間的結合強度,以便使此等兩個目標均得以實現。藉由控制凡得瓦(及/或氫結合)吸引能及共價吸引能對總黏著能之作用實現可控之結合力,該總黏著能藉由調整薄片20及載體10的極性表面能分量及非極性表面能分量得以控制。此受控結合足夠強力以通過FPD處理(包括濕式處理、超音波處理、真空處理及熱處理,熱處理包括≧400℃之溫度,以及在一些實例中,處理溫度為≧500℃或≧600℃,及高達650℃),及藉由施加足夠分離力以及藉由將不會對薄片20及/或載體10造成災難性損壞之力而保持可脫離。該脫離允許薄片20及在薄片20上製造之裝置的移除,且亦允許載體10之再用。
儘管表面改質層30經圖示為介於薄片20與載體10之間的固體層,但該表面改質層30不一定為此種情況。例如,層30可為近似0.1 nm至2 nm厚,且可不完全覆蓋整個結合表面14。例如,該覆蓋範圍可為≦100%、1%至100%、10%至100%、20%至90%或50%至90%。在其他實施例中,層30可達10 nm厚,或在其他實施例中甚至達100 nm厚。可考慮將表面改質層30安置在載體10與薄片20之間,但該表面改質層30可能不接觸載體10及薄片20中之一者或另一者。無論如何,表面改質層30的一個重要態樣為,表面改質層30修改結合表面14與結合表面24結合的能力,從而控制載體10與薄片20之間的結合強度。表面改質層30之材料及厚度,以及結合表面14、24在結合之前的處理可用以控制載體10與薄片20之間的結合強度(黏著能)。
一般而言,兩個表面之間的黏著能藉由以下等式給出(「A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension」, L. A. Girifalco及R. J. Good, J. Phys. Chem., 第61卷, 第904頁): W=γ1212 (1) 其中,γ1 、γ2 及γ12 分別為表面1及表面2之表面能,以及表面1與表面2之界面能。個別表面能通常為兩項之組合:分散分量γd 及極性分量γp 。 γ=γdp (2)
當黏著主要歸因於倫敦分散力(γd )及例如氫結合之極性力(γp )時,界面能可藉由以下等式給出(如上所述,Girifalco及R. J. Good):(3)
將(3)代入(1)中後,黏著能可近似計算為:(4)
在以上之等式(4)中,僅考慮了黏著能中之凡得瓦(及/或氫結合)分量。此等黏著能中包括極性之間的相互作用(Keesom)、極性與非極性的相互作用(Debye)以及非極性之間的相互作用(London)。然而其他吸引能亦可存在,例如共價鍵結合及靜電結合。因此,以更普遍之形式,以上之等式寫為:(5) 其中wc 及we 為共價黏著能及靜電黏著能。共價黏著能相當普遍,如在矽晶圓結合中,其中初始氫結合之晶圓對經加熱至較高溫度以使大量或所有矽醇-矽醇氫鍵轉變為Si-O-Si共價鍵。雖然初始之室溫氫結合產生~100 mJ/m2 至200 mJ/m2 數量級之黏著能,該黏著能允許結合表面的分離,但高溫處理(約400℃至800℃)期間所得之充分共價結合晶圓對具有~ 1000 mJ/m2 至3000 mJ/m2 之黏著能,該黏著能不允許結合表面的分離;相反,兩個晶圓充當一整體。另一方面,若兩個表面均極佳地塗佈有低表面能材料,例如氟聚合物,且低表面能材料之厚度足夠大以屏蔽下層基板之影響,則黏著能可為塗層材料之黏著能且可能極低以導致結合表面14、24之間的低黏著力或無黏著力,藉此薄片20將不能在載體10上接受處理。考慮兩個極端情況:(a)以矽醇基飽和之兩個經標準清洗1(如本領域已知之SC1)清洗的玻璃表面在室溫下經由氫結合(藉此黏著能為~100 mJ/m2 至200 mJ/m2 )、隨後藉由加熱至使矽醇基轉變為共價Si-O-Si鍵(藉此黏著能變為1000 mJ/m2 至3000 mJ/m2 )的高溫而結合在一起。該後一黏著能過高以使該對玻璃表面無法拆離;以及(b)極佳地塗佈有具有低表面黏著能(每個表面為~12 mJ/m2 )之氟聚合物的兩個玻璃表面在室溫下結合及經加熱至高溫。在該後一情況(b)中,表面不僅不結合(因為當表面經置放至一起時,~24 mJ/m2 之總黏著能過低),而且該等表面由於無(或過少)極性反應基團在高溫下也不結合。介於該等兩個極端狀況之間,存在例如50 mJ/m2 與1000 mJ/m2 之間的黏著能範圍,該範圍可產生所需程度之受控結合。因此,發明者發現提供表面改質層30使黏著能介於該等兩個極端之間且產生受控結合的各種方式,該受控結合足以使一對玻璃基板(例如玻璃載體10及薄玻璃片20)通過FPD處理之嚴酷後維持彼此結合,但又處於允許薄片20在處理完成後自載體10拆離的程度(甚至在例如≧400℃之高溫處理後)。此外,薄片20自載體10之拆離可藉由機械力及以至少對薄片20無災難性損壞且較佳地對載體10亦無災難性損壞的方式執行。
等式(5)描述:黏著能為四個表面能參數加上共價與靜電能(若存在)的函數。
適當之黏著能可藉由對表面改質劑(亦即,對表面改質層30)之明智選擇及/或在結合之前對表面之熱處理得以實現。適當之黏著能可藉由對結合表面14及結合表面24中之一者或兩者的化學改質劑的選擇獲得,該適當之黏著能繼而控制凡得瓦(及/或氫結合,因為此等術語在整個說明書中可交換使用)黏著能以及由高溫處理(例如,約≧400℃)產生的可能之共價結合黏著能兩者。例如,取SC1清洗之玻璃的結合表面(最初用具有較高極性分量之表面能之矽醇基使該SC1清洗之玻璃飽和),及使該結合表面塗佈有低能氟聚合物提供對該表面由極性基團及非極性基團局部覆蓋的控制。此舉不僅提供對室溫下之初始凡得瓦(及/或氫)結合的控制,亦提供對較高溫度下之共價結合之範圍/程度的控制。可執行對室溫下之初始凡得瓦(及/或氫)結合的控制以提供一個表面與另一個表面之結合,以允許真空處理及/或旋轉沖洗乾燥(SRD)式處理,且在一些實例中,亦提供容易形成的一個表面與另一個表面之結合,其中可在不對薄片20之整個區域施加外部施加力(如藉由刮漿板將薄片20按壓至載體10時之操作)之情況下或在減壓環境下,在室溫下執行該容易形成之結合。換言之,初始凡得瓦結合提供至少最小程度之結合,該結合將薄片與載體固持在一起,以使該薄片與載體在一者經固持及另一者經允許而經受重力時不分離。多數情況下,初始凡得瓦(及/或氫)結合將達到以下程度:物件亦可在薄片不從載體剝離的情況下經受真空處理、SRD處理及超音波處理。經由表面改質層30(包括製成該表面改質層之材料及/或應用至該表面改質層的對表面之表面處理)及/或藉由在將薄片與載體結合在一起之前的對結合表面之熱處理對凡得瓦(及/或氫結合)相互作用及共價相互作用兩者在適當位準下的此精確控制實現所需黏著能,該黏著能允許薄片20在貫穿FPD式處理與載體10結合,同時允許薄片20在FPD式處理之後與載體10分離(藉由避免對薄片20及/或載體造成損壞的適當力)。另外,在適當之情況下,可將靜電荷施加至兩個玻璃表面中之一或兩者以提供對黏著能的另一位準之控制。
FPD處理(例如p-Si及氧化物TFT製造)典型地涉及在高於400℃、高於500℃且在一些實例中600℃或高於600℃、高達650℃之溫度下的熱處理,該熱處理可在無表面改質層30之情況下致使薄玻璃片20與玻璃載體10之玻璃與玻璃結合。因此控制Si-O-Si結合之形成產生可再用載體。在高溫下控制Si-O-Si結合之形成的一個方法為減小待結合表面上之表面羥基的濃度。
如第3圖所示,該圖為二氧化矽之表面羥基濃度隨溫度變化的Iller曲線(R. K. Iller:The Chemistry of Silica(Wiley-Interscience,紐約,1979)),每平方奈米之羥基(OH基)數目隨表面溫度增加而減小。因此,加熱二氧化矽表面(及同樣,玻璃表面,例如結合表面14及/或結合表面24)降低表面羥基之濃度,從而減小了兩個玻璃表面上之羥基將相互作用之機率。此表面羥基濃度之降低繼而減少每單位面積形成之Si-O-Si鍵,減弱黏著力。然而,消除表面羥基需要高溫(高於750℃以完全消除表面羥基)下的較長之退火時間。該較長退火時間及較高退火溫度致使製程昂貴,且因為可能高於典型之顯示器玻璃的應變點而使製程不實用。
根據上述分析,發明者發現,包括薄片及載體的適合於FPD處理(包括LTPS處理)的物件可藉由平衡以下三個概念製成:
(1)藉由控制初始之室溫結合的載體及/或薄片結合表面之改質,該改質可藉由控制凡得瓦(及/或氫)結合完成,以產生適度之黏著能(例如,在表面經結合之前每個表面具有>40 mJ/m2 之表面能)以促進初始室溫結合及足以通過非高溫FPD製程,例如,真空處理、SRD處理及/或超音波處理。
(2)採用熱穩定以在不排氣之情況下通過FPD製程之方式的載體及/或薄片之表面改質,該排氣在裝置製造中可導致脫層及/或不可接受之污染,例如,對於可使用該物件之半導體及/或顯示器製造製程而言不可接受之污染;以及
(3)在高溫下控制結合,此舉可藉由控制載體表面羥基濃度以及控制能夠在高溫(例如,≧400℃之溫度)下形成強共價鍵之其他物種的濃度而完成,藉此可控制載體與薄片之結合表面之間的結合能,以使得甚至在高溫處理(特別是經歷500℃至650℃範圍內的熱製程,如在FPD製程中)後,載體及薄片之間的黏著力保持在允許薄片以分離力自載體脫離的範圍內,該分離力至少不對薄片造成損壞(且較佳地對薄片或載體均不造成損壞),且該黏著力足以維持載體與薄片之間的結合,以使載體與薄片在處理期間不發生剝離。
此外,發明者發現,表面改質層30之使用連同適當之結合表面處理可平衡上述概念以便容易地獲得受控結合區域,亦即,在薄片20與載體10之間提供充足室溫結合以允許物件2在FPD式製程(包括真空製程及濕式製程)中處理的結合區域,亦為控制薄片20與載體10之間的共價結合(甚至在≧400℃之高溫下)以允許薄片20在物件2完成高溫處理(例如,FPD式處理或LTPS處理)後自載體10移除(而至少不對薄片造成損壞,且較佳地,亦不對載體造成損壞)的結合區域。為評估可能結合表面處理以及將提供適合於FPD處理的可再用載體的表面改質層,使用一系列試驗以評估每一者之適當性。不同FPD應用具有不同需求,但此時,LTPS製程及氧化物TFT製程顯得最為嚴格,因此選擇代表此等製程中之步驟的試驗,因為此等製程為物件2所需之應用。真空製程,濕式清洗(包括SRD製程及超音波式製程)以及濕式蝕刻對於許多FPD應用而言為常用的。典型aSi TFT製造需要高達320℃之處理。400℃下之退火用於氧化物TFT製程中,然而高於600℃的結晶步驟及摻雜劑活化步驟用於LTPS處理中。因此,以下五個試驗用以評估特定之結合表面處理及表面改質層30將允許薄片20在貫穿FPD處理與載體10保持結合,同時允許薄片20在該處理後(包括在≧400℃之溫度下之處理)自載體10移除(而不對薄片20及/或載體10造成損壞)的可能性。試驗按順序進行,且樣品從一個試驗行進至下一試驗,除非出現將不允許後續試驗之類型的失敗。
(1)真空試驗。真空相容性試驗在STS Multiplex PECVD裝載鎖(可購自SPTS, Newport, UK)中執行,該裝載鎖藉由具有柔軟泵閥之Ebara A10S乾式泵(可購自Ebara Technologies公司, Sacramento, CA)抽吸。樣品經置放在裝載鎖中,且隨後裝載鎖經抽吸而在45秒內自大氣壓力降低至70毫托。若存在以下情況,則認為發生下表之「真空」行中藉由符號「F」所指示之失敗:(a)載體與薄片之間的黏著力之損失(藉由使用肉眼的目視檢查,其中若薄片自載體掉落或部分地脫離載體,則認為已發生失敗);(b)載體與薄片之間的起泡(如藉由使用肉眼的目視檢查而決定,樣品在處理前及處理後經拍照及然後經對比,若瑕疵大小增加了肉眼可見的尺寸,則決定已發生失敗);或(c)薄片相對於載體之移動(如藉由使用肉眼的目視觀測所決定,樣品在試驗之前及之後經拍照,其中若存在例如氣泡之結合瑕疵的移動,或若邊緣脫離,或若載體上之薄片發生移動,則認為已發生失敗)。在下表中,「真空」行中之符號「P」依據上述準則指示樣品未失敗。
(2)濕式製程試驗。濕式製程相容性試驗使用型號為SRD-470S之Semitool(可購自Applied Materials, Santa Clara, CA)執行。試驗由500 rpm下的60秒之沖洗、500 rpm下Q-沖洗至15 MOhm-cm、500rpm下的10秒之淨化、1800 rpm下的90秒之乾燥及在溫暖流動氮中在2400 rpm下的180秒之乾燥構成。若存在以下情況,則認為發生下表之「SRD」行中藉由符號「F」所指示之失敗:(a)載體與薄片之間的黏著力之損失(藉由使用肉眼的目視檢查,其中若薄片自載體掉落或部分地脫離載體,則認為已發生失敗);(b)載體與薄片之間的起泡(如藉由使用肉眼之目視檢查所決定,樣品在處理前及處理後經拍照且然後經對比,若瑕疵大小增加了肉眼可見的尺寸,則決定已發生失敗);或(c)薄片相對於載體之移動(如藉由使用肉眼的目視觀測所決定,樣品在試驗之前及之後經拍照,其中若存在例如氣泡之結合瑕疵的移動,或若邊緣脫離,或若載體上之薄片發生移動,則認為已發生失敗);或(d)薄片下之水滲透(如藉由使用50倍光學顯微鏡的目視檢查所決定,其中若可觀測到液體或殘留物,則決定已發生失敗)。在下表中,「SRD」行中之符號「P」依照上述準則指示樣品未失敗。
(3)400℃之溫度試驗。400℃製程相容性試驗使用Alwin21 Accuthermo610 RTP(可購自Alwin21, Santa Clara CA)執行。載體(薄片結合至該載體上)在腔室中經加熱,該腔室以6.2℃/min自室溫循環至400℃,在400℃下保持600秒且以1℃/min冷卻至300℃。隨後允許載體及薄片冷卻至室溫。若存在以下情況,則認為發生下表之「400℃」行中藉由符號「F」所表示之失敗:(a)載體與薄片之間的黏著之損失(藉由使用肉眼的目視檢查,其中若薄片自載體掉落或部分地脫離載體,則認為已發生失敗);(b)載體與薄片之間的起泡(如藉由使用肉眼之目視檢查所決定,樣品在處理前及處理後經拍照且然後經對比,若瑕疵大小增加了肉眼可見的尺寸,則決定已發生失敗);或(c)載體與薄片之間的增強的黏著,藉此該增強的黏著防止薄片在不對薄片或載體造成損壞之情況下自載體脫離(藉由將剃刀刀片插入薄片與載體之間,及/或藉由將一片KaptonTM膠帶(1"寬x 6"長且2-3"經黏貼至100平方毫米之薄玻璃上)(Saint Gobain Performance Plastic, Hoosik NY之K102系列)黏貼至薄片及牽拉膠帶),其中若在試圖分離薄片及載體時損壞薄片或載體,或若無法藉由執行脫離方法中之任一者而使薄片與載體脫離,則認為已發生失敗。另外,在薄片與載體結合之後以及在熱循環之前,在代表性樣品上執行脫離試驗以決定:特定材料(包括任何相關之表面處理)允許薄片在溫度循環之前自載體脫離。在下表中,「400℃」行中之符號「P」依據上述準則指示樣品未失敗。
(4)600℃之溫度試驗。600℃製程相容性試驗使用Alwin21 Accuthermo610 RTP執行。具有薄片之載體在腔室中經加熱,該腔室以9.5℃/min自室溫循環至600℃,在600℃下維持600秒,及隨後以1℃/min冷卻至300℃。隨後允許載體及薄片冷卻至室溫。若存在以下情況,則認為發生下表之「600℃」行中藉由符號「F」所指示之失敗:(a)載體與薄片之間的黏著之損失(藉由使用肉眼的目視檢查,其中若薄片自載體掉落或部分地脫離載體,則認為已發生失敗);(b)載體與薄片之間的起泡(如藉由使用肉眼之目視檢查所決定,樣品在處理前及處理後經拍照及然後經對比,若瑕疵大小增加了肉眼可見的尺寸,則決定已發生失敗);或(c)載體與薄片之間的增強的黏著,藉此該增強的黏著防止薄片在不對薄片或載體造成損壞之情況下自載體脫離(藉由將剃刀刀片插入薄片與載體之間,及/或藉由將一片如上所述之KaptonTM膠帶黏貼至薄片及牽拉膠帶),其中若在試圖分離薄片及載體時損壞薄片或載體,或若無法藉由執行脫離方法中之任一者使薄片與載體脫離,則認為已發生失敗。另外,在薄片與載體結合之後以及在熱循環之前,在代表性樣品上執行脫離試驗以決定:特定材料以及任何相關之表面處理允許薄片在溫度循環之前自載體脫離。在下表中,「600℃」行中之符號「P」依據上述準則指示樣品未失敗。
(5)超音波試驗。超音波相容性試驗藉由在一排四個槽內清洗物件執行,其中物件在該等槽中之每一者(依次從槽#1至槽#4)中處理。四個槽中之每一者的槽尺寸為18.4"L x 10"W x 15"D。兩個清洗槽(清洗槽#1及清洗槽#2)包含1%之Semiclean KG(可購自Yokohama Oils and Fats Industry公司, Yokohama Japan)於50℃的去離子(DI)水中。使用NEY prosonik 2 104 kHz超音波發生器(可購自Blackstone-NEY Ultrasonics, Jamestown, NY)攪拌清洗槽#1,且使用NEY prosonik 2 104 kHz超音波發生器攪拌清洗槽#2。兩個沖洗槽(槽#3及槽#4)包含50℃之去離子水。藉由NEY sweepsonik 2D 72 kHz超音波發生器攪拌沖洗槽#3,且藉由NEY sweepsonik 2D 104 kHz超音波發生器攪拌沖洗槽#4。該等製程在槽#1至槽#4中之每一者中進行達10分鐘,隨後為在樣品從槽#4移除之後的旋轉沖洗乾燥(SRD)。若存在以下情況,則認為發生下表之「超音波」行中藉由符號「F」所指示之失敗:(a)載體與薄片之間的黏著之損失(藉由使用肉眼的目視檢查,其中若薄片自載體掉落或部分地脫離載體,則認為已發生失敗);(b)載體與薄片之間的起泡(如藉由使用肉眼之目視檢查所決定,樣品在處理前及處理後經拍照且然後經對比,若瑕疵大小增加了肉眼可見的尺寸,則決定已發生失敗);或(c)其他嚴重缺陷之形成(如藉由使用50倍光學顯微鏡的目視檢查所決定,其中若存在之前未觀測到的截留在薄片與載體之間的微粒,則認為已發生失敗;或(d)薄片下的水滲透(如藉由使用50倍光學顯微鏡的目視檢查所決定,其中若可觀測到液體或殘留物,則決定已發生失敗)。在下表中,「超音波」行中之符號「P」依據上述準則指示樣品未失敗。另外,在下表中,「超音波」行中之空白或「?」指示未以此方式試驗該樣品。
經由加熱致使羥基減少而處理結合表面
使用表面改質層30修改結合表面14、24中之一或多者以使物件2能夠成功經受FPD處理(亦即,其中在處理期間薄片20保持與載體10結合,且在包括高溫處理之處理後還可與載體10分離)的益處藉由在載體10與薄片20之間沒有表面改質層30之情況下處理具有玻璃載體10及薄玻璃片20的物件2而得以證實。具體的,首先嘗試藉由加熱以減少羥基但不使用表面改質層30處理結合表面14、24。清洗載體10及薄片20,結合表面14及24彼此結合,且隨後試驗物件2。製備用於結合之玻璃的典型清洗製程為SC1清洗製程,其中玻璃在稀釋之過氧化氫及鹼(通常為氫氧化銨,但亦可使用例如JT Baker JTB-100或JTB-111的氫氧化四甲銨溶液)中清洗。清洗自結合表面移除粒子且使得表面能已知,亦即,該清洗提供表面能之基線。清洗方式不需為SC1,可使用其他類型之清洗,因為清洗類型可能僅對表面上之矽醇基產生極小之影響。於下表1中闡述各種試驗之結果。
藉由對薄玻璃片及玻璃載體進行簡單之清洗產生強力但可分離之初始室溫結合或凡得瓦結合及/或氫結合;該薄玻璃片為100平方毫米x 100微米厚,該玻璃載體為直徑150 mm的0.50 mm或0.63 mm厚之單一平均平坦(single mean flat;SMF)晶圓,薄玻璃片及玻璃載體之每一者包含Eagle XG®顯示器玻璃(具有約為0.2 nm之平均表面粗糙度Ra的無鹼鋁硼矽酸鹽玻璃,該玻璃可購自Corning公司, Corning, NY)。在此實例中,玻璃在去離子水:JTB-111:過氧化氫為40:1:2的65℃浴中清洗10分鐘。薄玻璃或玻璃載體可能在或可能未在400℃下於氮氣中退火達10分鐘以移除殘留水,下表1中之「載體」行或「薄玻璃」行中之符號「400℃」指示樣品在400℃下於氮氣中退火達10分鐘。FPD製程相容性試驗證實此SC1-SC1初始室溫結合具有足夠之機械強度以通過真空試驗、SRD試驗及超音波試驗。然而,在400℃下及400℃以上加熱在薄玻璃與載體之間產生永久結合,亦即,無法在不對薄玻璃片及載體中之一者或兩者造成損壞的情況下將薄玻璃片自載體移除。且甚至對於實例1c亦如此,其中載體及薄玻璃中之每一者具有退火步驟,以降低表面羥基之濃度。因此,在不使用表面改質層30之情況下經由單獨加熱且隨後結合載體10及薄片12的結合表面14、24之上述處理並非為用於FPD製程之適當受控結合,該FPD製程中溫度將≧400℃。
表1-經SC1處理之玻璃結合表面的製程相容性試驗
藉由羥基減少及表面改質層而處理結合表面
例如藉由熱處理之羥基減少,及表面改質層30可經一同使用以控制結合表面14、24之相互作用。例如,結合表面14、24之結合能(室溫下歸因於極性能/分散能分量之凡得瓦結合及/或氫結合,以及高溫下歸因於共價能分量之共價結合)可經控制以便提供變化之結合強度,該結合強度自使得室溫結合為困難的結合強度變化為允許高溫處理後結合表面之容易之室溫結合以及分離的結合強度,變化為在高溫處理後在無損壞之情況下防止表面分離的結合強度。在一些應用中,可能需要不具有或具有非常微弱之結合(如當表面在「非結合」區域中時,「非結合」區域以US '727之薄片/載體概念描述,以及如以下所描述)。在其他應用中,例如提供用於FPD製程之可再用載體及類似者(其中可實現製程溫度≧500℃,或≧600℃及高達650℃),可能需要使得在室溫下之充足凡得瓦結合及/或氫結合首先將薄片與載體置放在一起,且還防止或限制高溫共價結合。對於其他應用,可能需要使得充足室溫結合首先將薄片與載體置放在一起,以及亦在高溫下建立強力共價結合(如當表面在「結合區域」中時,「結合區域」以US '727之薄片/載體概念描述,以及如以下所論述)。儘管不希望受理論約束,但在一些實例中,表面改質層可用以控制室溫結合,薄片及載體首先藉由該室溫結合置放在一起;而表面上羥基之減少(例如,如藉由加熱表面或藉由羥基與表面改質層之反應)可用以控制共價結合,特別為高溫下之共價結合。
表面改質層30之材料可向結合表面14、24提供能量(例如,及如針對一個表面量測及包括極性分量及分散分量之能量<40mJ/m2),藉此表面僅產生微弱之結合。在一個實例中,六甲基二矽氮烷(HMDS)可用以藉由與表面羥基發生反應形成三甲基矽烷基(TMS)封端之表面而產生此低能之表面。作為表面改質層之HMDS可與表面加熱一同使用以降低羥基濃度,以便控制室溫結合及高溫結合兩者。藉由為每一結合表面14、24選擇適當之結合表面處理,可獲得具有各種能力之物件。更具體而言,為有利於為LTPS處理提供可再用載體,可在薄玻璃片20與玻璃載體10之間實現適當結合,以便通過(或歷經)真空SRD處理試驗、400℃(a部分及c部分)處理試驗以及600℃(a部分及c部分)處理試驗中之每一者。
在一個實施例中,在SC1清洗之後進行薄玻璃及載體兩者之HMDS處理產生微弱結合之表面,該微弱結合之表面難以在室溫下使用凡得瓦(及/或氫結合)力結合。施加機械力以使薄玻璃結合至載體。如表2之實例2a所示,此結合足夠微弱,以致在真空試驗及SRD處理中觀測到載體之偏斜,在400℃及600℃之熱製程中觀測到起泡(可能歸因於排氣),以及在超音波處理之後觀測到微粒缺陷。
在另一實例中,僅一個表面(所舉實例中的載體)之HMDS處理產生較強之室溫黏著,該室溫黏著通過真空處理及SRD處理。然而,在400℃下及以上之熱製程使薄玻璃永久地結合至載體。此情形不難意料,因為相較於充分羥基化之二氧化矽的4.6/nm2 -4.9/nm2 之羥基濃度,二氧化矽上之三甲基矽烷基的最大表面覆蓋率在J. Phys. Chem. 1982, 86, 5208-5219中由Sindorf及Maciel計算為2.8/nm2 ,且在Journal of Non-Crystalline Solids 316 (2003) 349-363中由Suratwala等人量測為2.7/nm2 。換言之,儘管三甲基矽烷基確實與一些表面羥基結合,但將剩餘一些未經結合之羥基。因此,可預期給定充足之時間及溫度使薄玻璃及載體永久地結合的表面矽醇基之縮合。
藉由在HMDS暴露之前加熱玻璃表面以降低表面羥基濃度,從而導致表面能之極性分量增大,可產生不同之表面能。此舉既使高溫下形成共價Si-O-Si鍵之驅動力減小,又導致較強之室溫結合,例如凡得瓦(及/或氫)結合。第4圖圖示Eagle XG®顯示器玻璃載體在退火及HMDS處理後之表面能。在HMDS暴露之前的升高之退火溫度藉由增加極性比重(線404)使得在HMDS暴露之後,總(極性及分散)表面能(線402)增加。亦可見,總表面能中之分散比重(線406)藉由熱處理很大程度上保持不變。儘管不希望受理論約束,在HMDS處理後,增加表面中之能量之極性分量以及從而增加表面中之總能量似乎歸因於甚至在HMDS處理之後,因藉由HMDS之子單層TMS覆蓋率而存在一些經暴露之玻璃表面區域。
在實例2b中,在與具有HMDS塗層的未經熱處理之載體結合之前,薄玻璃片在真空中於150℃之溫度下加熱達一小時。薄玻璃片之此熱處理不足以防止在≧400℃之溫度下薄玻璃片與載體之永久性結合。
如表2中實例2c至實例2e所示,在HMDS暴露之前改變玻璃表面之退火溫度可改變玻璃表面之結合能,以便控制玻璃載體及薄玻璃片之間的結合。
在實例2c中,載體在真空中於190℃之溫度下退火達1小時,隨後HMDS暴露以提供表面改質層30。另外,在與載體結合之前,薄玻璃片在真空中於450℃下退火達1小時。所得物件通過真空試驗、SRD試驗及400℃試驗(a部分及c部分,但由於起泡增多而未通過b部分),但在600℃實驗中失敗。因此,儘管相較於實例2b,對高溫結合存在增加之抗性,但此抗性不足以產生用於在≧600℃之溫度下處理(例如LTPS處理)的物件,其中載體可再用。
在實例2d中,載體在真空中於340℃之溫度下退火達1小時,隨後HMDS暴露以提供表面改質層30。又,在與載體結合之前,薄玻璃片在真空中於450℃下退達火1小時。結果與實例2c之結果類似,其中該物件通過真空試驗、SRD試驗及400℃試驗(a部分及c部分,但由於起泡增多而未通過b部分),但在600℃實驗中失敗。
如實例2e所示,將薄玻璃及載體在真空中於450℃下退火達1小時,隨後載體之HMDS暴露以及然後載體與薄玻璃片之結合,改良對於永久性結合之溫度抗性。兩個表面至450℃之退火防止在600℃下RTP退火達10分鐘後的永久性結合,換言之,此樣品通過600℃試驗(a部分及c部分,但由於起泡增多而未通過b部分;在400℃試驗中發現類似結果)。
表格2-HMDS表面改質層之製程相容性試驗
在以上之實例2a至實例2e中,載體及薄片中之每一者為Eagle XG®玻璃,其中載體為630微米厚之直徑為150 mm之SMF晶圓,且薄片為100平方毫米、100微米厚。藉由YES-5 HMDS烘箱(可購自Yield Engineering Systems, San Jose CA)中之脈衝氣相沉積塗覆HMDS且該HMDS為一個原子層厚(亦即,約0.2 nm至1 nm),但表面覆蓋率可小於一個單層,亦即如Maciel所記載及以上所論述,一些表面羥基未由HMDS覆蓋。由於表面改質層之較小厚度,幾乎不存在排氣之風險,該排氣可導致裝置製造中的污染。又,如表2中藉由「SC1」符號所指示,在熱處理或任何後續之HMDS處理之前,使用SC1製程清洗載體及薄片中之每一者。
實例2a與實例2b之比較顯示薄片與載體之間的結合能可藉由改變表面之數目而控制,該等表面包括表面改質層。且對結合能之控制可用以控制兩個結合表面之間的結合力。同樣,實例2至實例2e之比較顯示表面之結合能可藉由改變熱處理之參數而控制,結合表面在表面改質材料之塗覆之前經受該熱處理。又,熱處理可用以減少表面羥基之數目,且由此控制共價結合之程度,特別是在高溫下的共價結合之程度。
可以不同方式作用以控制結合表面上之表面能的其他材料可用於表面改質層30,以控制兩個表面之間的室溫結合力及高溫結合力。例如,當一個或兩個結合表面使用表面改質層修改以產生適度之結合力時,亦可產生可再用載體,該表面改質層覆蓋或在空間上阻止例如羥基之物種以防止在高溫下載體與薄片之間的強力永久性共價鍵的形成。產生可調表面能以及覆蓋表面羥基以防止共價鍵之形成的一個方法為電漿聚合物薄膜(例如氟聚合物薄膜)之沉積。電漿聚合在大氣壓力或減小之壓力以及來自氣體源之電漿激發(DC或RF平行板、電感耦合電漿(Inductively Coupled Plasma;ICP)電子迴旋共振(Electron Cyclotron Resonance;ECR)順流式微波或RF電漿)下沉積聚合物薄膜,該等氣體源例如:氟碳化物源(包括CF4 、CHF3 、C2 F6 、C3 F6 、C2 F2 、CH3 F、C4 F8 、氟氯碳化物、或氫氟氯碳化物);烴類,例如烷烴(包括甲烷、乙烷、丙烷、丁烷)、烯烴(包括乙烯、丙烯)、炔烴(包括乙炔)以及芳烴(包括苯、甲苯);氫氣;以及其他氣體源(例如SF6 )。電漿聚合產生一層高度交聯之材料。對反應條件及氣體源之控制可用以控制薄膜厚度、密度及化學性質,以使官能基適合所需應用。
第5圖圖示電漿聚合之氟聚合物(PPFP)薄膜之總(線502)表面能(包括極性分量(線504)及分散分量(線506)),該電漿聚合之氟聚合物(PPFP)薄膜使用Oxford ICP380蝕刻工具(可購自Oxford Instruments, Oxfordshire UK)由CF4 -C4 F8 混合物沉積而成。薄膜沉積在Eagle XG ®玻璃片上,且橢圓偏振光譜測量顯示該等薄膜為1 nm至10 nm厚。如第5圖可見,用含有小於40%之C4 F8 的電漿聚合之氟聚合物薄膜處理的玻璃載體展現出>40 mJ/m2 之表面能,且在室溫下藉由凡得瓦結合或氫結合在薄玻璃與載體之間產生受控結合。當首先在室溫下結合載體與薄片時,觀測到經促進之結合。換言之,當將薄片置放在載體上及在一個點處將二者壓合到一起時,波前橫跨載體但以小於針對經SC1處理表面觀測到之速度行進,該經SC1處理表面上不具有表面改質層。受控結合足以經受所有標準FPD製程,包括真空製程、濕式製程、超音波製程以及高達600℃之熱製程;換言之,此受控結合在薄玻璃不相對於載體移動或脫層之情況下通過600℃處理試驗。藉由使用如上所述之剃刀刀片及/或KaptonTM膠帶剝離實現脫離。兩個不同PPFP薄膜(如以上所述沉積而成)之製程相容性顯示於表3中。形成實例3a中之PPFP 1,其中C4 F8 /(C4 F8 +CF4 )=0,換言之,使用CF4 /H2 而不使用C4 F8 形成PPFP 1;且沉積實例3b中之PPFP 2,其中C4 F8 /(C4 F8 +CF4 )=0.38。兩種類型之PPFP薄膜均通過真空處理試驗、SRD處理試驗、400℃處理試驗及600℃處理試驗。然而,在對PPFP 2的20分鐘之超音波清洗後觀測到脫層,指示黏著力不足以經受該處理。儘管如此,PPFP 2之表面改質層對於一些應用可為有用的,如不需要超音波處理之應用中。
在以上之實例3a及3b中,載體及薄片中之每一者為Eagle XG®玻璃,其中載體為630微米厚、直徑為150mm之SMF晶圓,且薄片為100平方毫米、100微米厚。由於表面改質層之較小厚度,幾乎不存在排氣之風險,該排氣可導致裝置製造中的污染。此外,又,由於表面改質未顯示出退化,排氣之風險甚至更小。又,如表3中所指示,薄片中之每一者使用SC1製程經清洗,之後在真空中於150℃下熱處理達1小時。
可以不同方式作用以控制表面能之其他材料可用作表面改質層,以控制薄片與載體之間的室溫結合力及高溫結合力。例如,可產生受控結合之結合表面可藉由矽烷處理玻璃載體及/或玻璃薄片而產生。矽烷經選定,以產生適當表面能,及以具有充足之熱穩定性以用於應用。待處理之載體或薄玻璃可藉由製程清洗以移除原本干擾矽烷與表面矽醇基反應之有機物以及其他雜質(例如,金屬),該製程例如O2電漿清洗或UV-臭氧清洗,以及SC1清洗或標準清洗二(如本領域已知之SC2)清洗。亦可使用基於其他化學成分之洗滌,例如HF或H2SO4洗滌化學成分。在矽烷塗覆(如以上關於HMDS表面改質層所論述)之前,載體或薄玻璃可經加熱以控制表面羥基濃度,及/或在矽烷塗覆之後經加熱以完成矽烷與表面羥基之縮合。可在結合前使矽烷化後的未反應之羥基之濃度足夠低,以防止在≧400℃之溫度下薄玻璃與載體之間的永久性結合,換言之,以形成受控結合。此方法於下文中描述。
實例 4a
結合表面經O2 電漿處理及SC1處理的玻璃載體隨後使用1%十二烷基三乙氧基矽烷(dodecyltriethoxysilane;DDTS)於甲苯中處理,且在真空中於150℃下退火達1小時以完成縮合。經DDTS處理之表面展現出45 mJ/m2 之表面能。如表4中所示,將玻璃薄片(已經SC1清洗及在真空中於400℃下加熱達1小時)結合至載體結合表面,該載體結合表面上具有DDTS表面改質層。此物件通過濕式製程試驗及真空製程試驗,但未在沒有氣泡形成於載體下方之情況下通過高於400℃之熱製程,該氣泡之形成歸因於矽烷之熱分解。針對所有直鏈烷氧基以及氯代烷基矽烷R1x Si(OR2 )y (Cl)z (其中x=1至3,且y+z = 4-x)預期此熱分解,除產生具有良好熱穩定性之塗層的甲基矽烷、二甲基矽烷及三甲基矽烷(x=1至3,R1=CH3 )之外。
實例 4b
結合表面經O2 電漿處理及SC1處理的玻璃載體隨後使用1%3,3,3,三氟丙基三乙氧基矽烷(3,3,3, trifluoropropyltritheoxysilane;TFTS)於甲苯中處理,且在真空中於150℃下退火達1小時以完成縮合。經TFTS處理之表面顯現47 mJ/m2 之表面能。如表4中所示,將玻璃薄片(已經SC1清洗及隨後在真空中於400℃下加熱達一小時)結合至載體結合表面,該載體結合表面上具有TFTS表面改質層。此物件在玻璃薄片未永久性結合至玻璃載體之情況下通過真空製程試驗、SRD製程試驗及400℃製程試驗。然而,600℃試驗引起載體下方之氣泡形成,該氣泡之形成歸因於矽烷之熱分解。由於丙基之有限的熱穩定性,此情形並非為不可預期的。儘管歸因於起泡,此樣品未通過600℃試驗,但此實例之材料及熱處理可用於一些應用,在該等應用中可容忍氣泡及氣泡之不利影響,例如表面平坦度之降低或增加之波紋。
實例 4c
結合表面經O2 電漿處理及SC1處理的玻璃載體隨後使用1%苯基三乙氧基矽烷(phenyltriethoxysilane;PTS)於甲苯中處理,且在真空中於200℃下退火達1小時以完成縮合。經PTS處理之表面顯現54 mJ/m2 之表面能。如表4中所示,將玻璃薄片(已經SC1清洗及隨後在真空中於400℃下加熱達一小時)結合至載體結合表面,該載體結合表面具有PTS表面改質層。此物件在玻璃薄片未與玻璃載體永久性結合之情況下通過真空製程、SRD製程及高達600℃之熱製程。
實例 4d
結合表面經O2 電漿處理及SC1處理的玻璃載體隨後使用1%二苯基二乙氧基矽烷(diphenyldiethoxysilane;DPDS)於甲苯中的處理,且在真空中於200℃下退火達1小時以完成縮合。經DPDS處理之表面顯現47 mJ/m2 之表面能。如表4中所示,將玻璃薄片(已經SC1清洗及隨後在真空中於400℃下加熱達一小時)結合至載體結合表面,該載體結合表面具有DPDS表面改質層。此物件在玻璃薄片未與玻璃載體永久性結合之情況下通過真空試驗及SRD試驗,以及高達600℃之熱製程。
實例 4e
結合表面經O2 電漿處理及SC1處理的玻璃載體隨後使用1% 4-五氟苯基三乙氧基矽烷(4-pentafluorophenyltriethoxysilane;PFPTS)於甲苯中經處理,且在真空中於200℃下退火達1小時以完成縮合。經PFPTS處理之表面顯現57 mJ/m2 之表面能。如表4中所示,玻璃薄片(已經SC1清洗及隨後在真空中於400℃下加熱達一小時)結合至載體結合表面,該載體結合表面具有PFPTS表面改質層。此物件在玻璃薄片未與玻璃載體永久性結合之情況下通過真空試驗及SRD試驗,以及高達600℃之熱製程。
表4-矽烷表面改質層之製程相容性試驗
在以上之實例4a至4e中,載體及薄片中之每一者為Eagle XG®玻璃,其中載體為630微米厚、直徑為150 mm之SMF晶圓,且薄片為100平方毫米、100微米厚。矽烷層為自組裝單層(self-assembled monolayer;SAM),且因此矽烷層近似小於約2 nm厚。在以上之實例中,使用具有芳基或烴基非極性尾基及單醇鹽、二醇鹽或三醇鹽頭基的有機矽烷製成SAM。該等基團與玻璃之矽醇表面反應以直接附著至有機官能基。非極性頭基之間較弱之相互作用組建有機層。由於表面改質層之較小厚度,幾乎不存在排氣之風險,該排氣可導致裝置製造中的污染。此外,又,由於實例4c、4d及4e中表面改質層未顯示出退化,排氣之風險甚至更小。又,如表4中所指示,玻璃薄片中之每一者使用SC1製程經清洗,之後在真空中於400℃下熱處理達一小時。
如由實例4a至實例4e之對比可見,將結合表面之表面能控制在40 mJ/m2 以上以促進初始室溫結合並非產生受控結合的唯一考量,該受控結合將經受FPD處理且仍允許在不造成損壞之情況下將薄片自載體移除。具體地,如由實例4a至實例4e可見,每一載體具有大於40 mJ/m2 之表面能,該表面能促進初始室溫結合,以使得物件通過真空處理及SRD處理。然而,實例4a及實例4b未通過600℃處理試驗。如上所述,對於某些應用,亦重要的是,結合通過高溫(例如,適合於製程的≧400℃、≧500℃或≧600℃、高達650℃,物件經設計以在該等製程中使用)下之處理且該結合不退化至不足以將薄片與載體固持在一起的程度,以及該結合亦控制共價結合,該共價結合發生在該等高溫下以使得薄片與載體之間不存在永久性結合。
在實例4、3及2中之上述分離在室溫下執行而不添加任何進一步之熱能或化學能以修改對薄片與載體之間的結合界面。僅有之能量輸入為機械牽拉及/或剝離力。
實例3及實例4中之上述材料可經塗覆至載體、薄片或將結合在一起的載體表面與薄片表面兩者。
受控結合的用途
可再用載體
經由表面改質層(包括材料及相關之結合表面熱處理)的受控結合的一個用途為提供物件中之載體的再用,該物件經受需要≧600℃之溫度的製程,例如在LTPS處理中。如以上實例2e、3a、3b、4c、4d及4e所例示之表面改質層(包括材料及結合表面熱處理)可用以提供該等溫度條件下的載體的再用。具體地,此等表面改質層可用以修改薄片與載體之結合區域之間的重疊區域的表面能,藉此整個薄片可在在處理之後與載體分離。薄片可一次性整體分離或可分部分分離,例如首先移除在薄片之部分上製造的裝置,及隨後移除剩餘之部分以清洗載體以供再用。在整個薄片自載體移除的情況下,可簡單地藉由將另一薄片置放載體上而照原樣再用載體。或者,載體可經清洗及藉由重新形成表面改質層經再次處理以承載薄片。由於表面改質層防止薄片與載體的永久性結合,該等表面改質層可用於其中溫度≧600℃之製程。當然,儘管此等表面改質層可在溫度≧600℃之處理期間控制結合表面能,但該等表面改質層亦可用以產生將經受較低溫度下之處理的薄片與載體之組合,且可在該較低溫度應用中用以控制結合。此外,當物件之熱處理將不超過400℃時,如實例2c、2d及4b所例示之表面改質層亦可按此相同之方式使用。
提供受控結合區域
經由表面改質層(包括材料及相關之結合表面熱處理)的受控結合的第二種用途為在玻璃載體與玻璃薄片之間提供受控結合區域。更具體而言,在使用表面改質層之情況下,可形成受控結合區域,其中充足之分離力可在不對薄片或載體造成因結合導致之損壞的情況下將薄片部分與載體分離,但貫穿處理維持充足之結合力以相對於載體固持薄片。參照第6圖,玻璃薄片20可藉由結合區域40結合至玻璃載體10。在結合區域40中,載體10及薄片20彼此共價地結合,以使載體10以及薄片20成為整體。另外,存在具有周邊52的受控結合區域50,其中即使在高溫處理(例如≧600℃之溫度下的處理)後,載體10及薄片20相連接,但載體10及薄片20可與彼此分離。儘管第6圖中圖示十個受控結合區域50,但可提供任何適當之數目(包括一個)。如以上實例2a、2e、3a、3b、4c、4d及4e所例示之表面改質層30(包括材料及結合表面熱處理)可用以提供載體10與薄片20之間的受控結合區域50。具體地,此等表面改質層可形成於載體10或薄片20上之受控結合區域50的周邊52內。因此,在物件2在高溫下經處理以在結合區域40中形成共價結合時或在裝置處理期間,在由周邊52限定之區域內,可在載體10與薄片20之間提供受控結合,藉此分離力可使此區域中之薄片及載體分離(而不對薄片或載體造成災難性損壞),但薄片及載體在處理(包括超音波處理)期間將不會發生脫層。因此,本申請案中藉由表面改質層及任何相關之熱處理提供之受控結合能夠根據US '727中之載體概念改良。具體地,儘管US '727之載體經證實通過FPD處理(包括≧約600℃之高溫處理,其中載體之周邊結合而中心區域未結合),但超音波製程(例如濕式清洗及抗蝕劑剝離處理)仍為具有挑戰性的。具體地,可見解決方案中之壓力波在非結合區域(如US '727中所描述之非結合區域)中之薄玻璃中誘發共振,因為存在極小黏著力或不存在黏著力使彼區域中之薄玻璃與載體結合。可形成薄玻璃中之駐波,其中若超音波攪拌具有足夠之強度,則此等波可導致振動,該等振動可導致結合區域與非結合區域之間的界面處的薄玻璃破裂。可藉由使薄玻璃與載體之間的間隙最小化,以及藉由在此等區域50中於載體20及薄玻璃10之間提供充分之黏著或受控結合而消除此問題。結合表面之表面改質層(包括如實例2a、2e、3a、3b、4c、4d及4e所例示的材料及任何相關之熱處理)控制結合能,以在薄片20與載體10之間提供充分之結合以避免受控結合區域中之此等不良振動。
隨後,在具有周邊57之所需部分56的抽取期間,薄片20在周邊52之內的部分可在處理之後以及在薄片沿周邊57分離後簡單地與載體10分離。由於表面改質層控制結合能以防止薄片與載體的永久性結合,該等表面改質層可用於其中溫度≧600℃之製程。當然,儘管此等表面改質層可在溫度≧600℃之處理期間控制結合表面能,但該等表面改質層亦可用以產生將經受較低溫度下之處理的薄片與載體之組合,且該等表面改質層可在該等較低溫度應用中使用。此外,當物件之熱處理將不超過400℃時,如實例2c、2d及4b所例示之表面改質層亦可按相同之方式使用(在一些實例中,視其他製程要求而定)以控制結合表面能。
提供結合區域
經由表面改質層(包括材料及任何相關之結合表面熱處理)之受控結合的第三種用途為在玻璃載體與玻璃薄片之間提供結合區域。參照第6圖,玻璃薄片20可藉由結合區域40結合至玻璃載體10。
在第三種用途的一個實施例中,結合區域40、載體10以及薄片20可彼此共價地結合以使結合區域40、載體10以及薄片20成為整體。另外,存在具有周邊52的受控結合區域50,其中即使在高溫處理(例如,在≧600℃之溫度下之處理)之後,載體10及薄片20彼此充分地結合以經受處理,且仍允許薄片與載體分離。因此,如以上實例1a、1b、1c、2b、2c、2d、4a及4b所例示之表面改質層30(包括材料及結合表面熱處理)可用以提供載體10與薄片20之間的結合區域40。具體地,此等表面改質層及熱處理可形成於載體10或薄片20上之受控結合區域50的周邊52之外。因此,當物件2在高溫下經處理時,或在高溫下經處理以形成共價鍵時,載體及薄片20將在周邊52所限定之區域外的結合區域40內彼此結合。隨後,在具有周邊57之所需部分56的抽取期間,當需要切割薄片20及載體10時,可沿線5分離物件,因為此等表面改質層及熱處理使薄片20與載體10共價地結合,因此薄片20與載體10在此區域中成為整體。由於表面改質層提供薄片與載體之永久性共價結合,該等表面改質層可用於其中溫度≧600℃之製程。此外,當物件的熱處理或結合區域40之初始形成的熱處理將≧400℃但小於600℃時,藉由實例4a中之材料及熱處理所例示的表面改質層亦可按此相同之方式使用。
在該第三種用途之第二個實施例中,在結合區域40中,載體10及薄片20可經由上述之各種表面改質層藉由受控結合而彼此結合。另外,存在具有周邊52的受控結合區域50,其中即使在高溫處理(例如,在≧600℃之溫度下之處理)之後,載體10及薄片20彼此充分地結合以經受處理,且仍允許薄片與載體分離。因此,若處理將在高達600℃之溫度下執行,且需要區域40中無永久性結合或共價結合,則如以上實例2e、3a、3b、4c、4d及4e所例示之表面改質層30(包括材料及結合表面熱處理)可用以在載體10與薄片20之間提供結合區域40。具體地,此等表面改質層及熱處理可形成於受控結合區域50的周邊52之外,或可形成於載體10上或薄片20上。受控結合區域50可經形成具有與結合區域40中所形成之表面改質層相同或不同之表面改質層。或者,若處理將在僅達400℃之溫度下執行,且需要區域40中無永久性結合或共價結合,則如以上實例2c、2d、2e、3a、3b、4b、4c、4d、4e所例示之表面改質層30(包括材料及結合表面熱處理)可用以在載體10與薄片20之間提供結合區域40。
替代區域50中之受控結合,區域50中可存在非結合區域,其中非結合區域可為如US '727中所述具有增加之表面粗糙度的區域,或者該等非結合區域可藉由實例2a所例示之表面改質層提供。
大量退火或大量處理
控制結合之上述方法的第四種用途為用於玻璃片堆疊之大量退火。退火為用於實現玻璃之壓實的熱製程。壓實涉及將玻璃主體重新加熱至一溫度,該溫度低於玻璃軟化點但高於後續處理步驟中所達到之最高溫度的溫度。此舉使得在後續處理之前而不是後續處理期間,實現玻璃中之結構重組及尺寸弛豫。後續處理之前的退火有利於在後續處理期間維持玻璃主體中之精密對準及/或平坦,如在平板顯示器裝置的製造中,其中由許多層製成之結構需要即使在經歷高溫環境之後仍以極小公差對準。若玻璃在一個高溫製程中壓實,在高溫製程之前沉積在玻璃上之結構層可能不與在高溫製程後沉積之結構層準確對準。
將玻璃片壓實成堆疊在經濟方面具有吸引力。然而,此壓實舉必需插入或分離相鄰片材以避免黏貼。同時,有利的是,維持片材極其平坦及具有光學品質或純淨表面光度。另外,對於某些玻璃片堆疊,例如具有較小表面積之片材,可能有利的是使玻璃片在退火製程期間「黏貼」在一起,以使得該等玻璃片可作為一個單元容易地移動而不分離,但在退火製程之後又可輕易地彼此分離(例如藉由剝離)以使片材可單獨地使用。或者,可能有利的是將玻璃片堆疊退火,其中防止玻璃片中之選定玻璃片彼此永久性地結合,且同時,允許玻璃片中之其他玻璃片或該等其他玻璃片之部分(例如,該等其他玻璃片之周邊)彼此永久性地結合。作為又一替代方案,可能有利的是堆疊玻璃片,以選擇性地使該堆疊中選定之相鄰片材對的周邊大量永久性結合。控制玻璃片之間的結合之上述方式可用於實現前述大量退火及/或選擇性結合。為了控制相鄰片材之間的任何特定界面處之結合,可在面對彼界面之主表面中之至少一者上使用表面改質層。
將參考第7圖及第8圖描述適合在選定區域(例如在周邊周圍)大量退火或大量永久性結合的玻璃片堆疊的一個實施例。其中第7圖為玻璃片770至772之堆疊760之示意性側視圖,且第8圖為用於進一步解釋的該堆疊760之分解圖。
玻璃片堆疊760可包括玻璃片770至772,以及表面改質層790以控制玻璃片770至772之間的結合。另外,該堆疊760可包括安置於該堆疊之頂部及底部的罩蓋片780、781,且該堆疊760可包括罩蓋與相鄰玻璃片之間的表面改質層790。
如第8圖所示,玻璃片770至772中之每一者包括第一主表面776及第二主表面778。玻璃片可由任何適當之玻璃材料製成,例如鋁矽酸鹽玻璃、硼矽酸鹽玻璃或鋁硼矽酸鹽玻璃。另外,玻璃可為含鹼或不含鹼。玻璃片770至772中之每一者可具有相同組成物,或片材可具有不同組成物。此外,玻璃片可為任何適當之類型。換言之,例如,玻璃片770至772可均為如上所述之載體,可均為如上所述之薄片,或可替代性地為載體及薄片。當大量退火針對載體與薄片要求不同時間-溫度循環時,具有載體堆疊及單獨的薄片堆疊是有利的。或者,在表面改質層材料及置放正確之情況下,可能合意的是具有含有交替之載體及薄片的堆疊,藉此在需要時,載體與薄片對(亦即,形成物件之載體與薄片對)可大量地彼此共價結合以供稍後處理,而同時保留使相鄰物件彼此分離之能力。更進一步,該堆疊中可存在任何適當數目之玻璃片。換言之,儘管第7圖及第8圖中僅圖示三個玻璃片770至772,但任何適當數目之玻璃片可包括於堆疊760中。
在任何特定堆疊760中,任一玻璃片可不包括表面改質層、包括一個表面改質層或包括兩個表面改質層。例如,如第8圖所圖示,片材770不包括表面改質層,片材771在片材771之第二主表面778上包括一個表面改質層790,且片材772包括兩個表面改質層790,其中片材772中之主表面776、778中之每一者上有一個該表面改質層。
罩蓋片780、781可為將適當地經受(不僅在時間及溫度方面,亦就如排氣之其他相關考量而言)給定製製程之時間-溫度循環的任何材料。罩蓋片可有利地由與經處理之玻璃片相同之材料製成。當存在罩蓋片780、781,且該等罩蓋片為在使堆疊通過給定時間-溫度循環時將不良地與玻璃片結合之材料時,玻璃片771與罩蓋片781之間及/或玻璃片772與罩蓋片780之間可視情況包括表面改質層790。當存在於罩蓋與玻璃片之間時,表面改質層可在罩蓋上(如以罩蓋781及相鄰片材771所圖示)、可在玻璃片上(如隨罩蓋780及片材772所圖示)或可在罩蓋及相鄰片材兩者上(未圖示)。或者,若存在罩蓋片780、781,但該等罩蓋片為將不與相鄰片材772、772結合之材料,則表面改質層790無需存在於罩蓋片與相鄰片材之間。
堆疊中相鄰片材之間存在界面。例如,玻璃片770至772中之相鄰玻璃片之間界定有一個界面,亦即,片材770與片材771之間存在界面791,且片材770與片材772之間存在界面792。另外,當存在罩蓋片780、781時,罩蓋781與片材771之間存在界面793,且片材772與罩蓋780之間存在界面794。
為了控制相鄰玻璃片之間的給定界面791、792處或玻璃片與罩蓋片之間的給定界面793、794處的結合,可使用表面改質層790。例如,如圖所示,在每一界面791、792處,於主表面中面對彼界面之至少一者上存在表面改質層790。例如,對於界面791,玻璃片771之第二主表面778包括表面改質層790以控制片材771與相鄰片材770之間的結合。儘管未圖示,但片材770之第一主表面776上亦可包括表面改質層790以控制與片材771之結合,亦即,在面對任何特定界面之主表面之每一者上可存在表面改質層。
任何給定界面791至794處之特定表面改質層790(以及任何相關之表面改質處理-例如在將特定表面改質層塗覆至特定表面之前對彼表面進行之熱處理,或對可能接觸表面改質層之表面的表面熱處理)可經選定以用於面對彼特定界面791至794之主表面776、778,以便控制相鄰片材之間的結合,從而實現堆疊760所經受之給定時間-溫度循環的所需結果。
若需要在高達400℃之溫度下大量退火玻璃片770至772之堆疊,及在退火製程之後使玻璃片之每一者彼此分離,則任何特定界面(例如界面791)處之結合可使用根據實例2a、2c、2d、2e、3a、3b或4b至4e中之任一者的材料連同任何相關之表面處理進行控制。更具體而言,片材770之第一表面776在表2至表4中將被視作「薄玻璃」,而片材771之第二表面778在表2至表4中將被視作「載體」,或反之亦然。隨後可基於所需的壓實程度、堆疊中片材之數目以及片材之大小及厚度而選擇具有高達400℃之溫度的適當之時間-溫度循環,以便實現整個堆疊所必需之時間-溫度循環。
類似地,若需要在高達600℃之溫度下大量退火玻璃片770至772之堆疊,及在退火製程之後使玻璃片之每一者彼此分離,則任何特定界面(例如界面791)處之結合可使用根據實例2a、2e、3a、3b、4c、4d、4e中之任一者的材料連同任何相關之表面處理進行控制。更具體而言,片材770之第一表面776在表2至表4中將被視作「薄玻璃」,而片材771之第二表面778在表2至表4中將被視作「載體」,或反之亦然。隨後可基於所需的壓實程度、堆疊中片材之數目以及片材之大小及厚度而選擇具有高達600℃之溫度的適當時間-溫度循環,以便實現整個堆疊所必需之時間-溫度循環。
另外,可藉由適當地配置片材堆疊以及每對片材之間的表面改質層,執行大量退火以及大量物件成形。若需要在高達400℃之溫度下大量退火玻璃片770至772之堆疊,並隨後使相鄰片材對大量地彼此共價結合以形成物件2,則適當之材料及相關之表面處理可經選定以用於控制結合。例如,在周邊周圍(或在其他所需之結合區域40),待形成物件2之玻璃片對(例如片材770及771)之間的界面處的結合可使用以下各者進行控制:(i)在片材770、771之周邊(或其他所需之結合區域40)周圍使用根據實例2c、2d及4b之任一者的材料連同任何相關之表面處理;以及(ii)在片材770、771之內部區域上(亦即,如(i)中處理之周邊的區域內部,或在所需之受控結合區域50中,需要在該受控結合區域50中將一個片材與另一個片材分離)使用根據實例2a、2e、3a、3b、4c、4d、4e之任一者的材料連同任何相關之表面處理。在此情況下,受控結合區域50中之裝置處理則可在高達600℃之溫度下執行。
材料及熱處理可適當地經選擇以彼此相容。例如,材料2c、2d或4b之任一者可用於結合區域40,且根據實例2a之材料用於受控結合區域。或者,結合區域及受控結合區域之熱處理可適當地經控制以將一個區域中之熱處理的效應最小化,該效應不利地影響相鄰區域中之結合的所需程度。
在為堆疊中之玻璃片適當地選擇表面改質層790及相關之熱處理後,彼等片材可適當地經佈置成堆疊且隨後經加熱至400℃,以大量退火堆疊中之所有片材而該等片材彼此不永久性結合。隨後,該堆疊可經加熱至達600℃以在相鄰片材對之所需結合區域中形成共價鍵,以形成具有結合區域及受控結合區域之圖案的物件2。待藉由結合區域40共價結合以形成物件2的一個片材對之間的界面處的結合,及形成分離但相鄰之物件2的另一片材對之間的界面處的結合可用實例2a、2e、3a、3b、4c、4d、4e之材料及相關熱處理進行控制,以使得相鄰之物件2不會彼此共價結合。以控制相鄰物件之間的結合的此同一方式,物件與存在於堆疊中之任何罩蓋片之間的結合可經控制。
更進一步,與上述類似地,可在不事先退火堆疊760的情況下,由彼堆疊760大量形成物件2。作為替代,在針對堆疊中之所需受控結合配置片材以大量產生物件之前,該等片材可經單獨退火,或在不同堆疊中退火且從該堆疊中分離。根據緊挨之上文所描述之大量退火及隨後由一同一堆疊大量地形成物件的方式,簡單地省略大量退火。
儘管以上僅詳細解釋控制界面791處之結合的方式,當然可同樣對界面792或對可存在於特定堆疊(如在一個堆疊中有三個以上玻璃片之情況下,或當存在將不良地結合至玻璃片的罩蓋片時)中之任何其他界面處之結合進行控制。另外,儘管控制結合之相同方式可用於存在之任何界面791、792、793、794處,但與控制結合之上述方式不同的方式亦可用於不同界面處,以在所需結合之類型方面產生相同或不同之結果。
在大量退火或大量地形成物件2的上述製程中,當HMDS用作用於控制界面處之結合的材料,且HMDS暴露至堆疊之外周邊時,若需要阻止HMDS之區域中的共價結合,則應在無氧氣氛中執行高於約400℃之加熱。換言之,若HMDS暴露至氣氛中之足以氧化HMDS的一定量之氧氣(在高於約400℃之溫度下)時,HMDS經氧化之任何區域中的結合將變為相鄰玻璃片之間的共價結合。其他烷烴矽烷可類似地因在較高溫度(例如,高於約400℃)下暴露至氧氣而受影響,例如乙基矽烷、丙基矽烷、丁基矽烷或甾基矽烷。類似地,若使用其他材料以用於表面改質層,則應選擇用於大量退火之環境,以使得材料將不會在退火之時間-溫度循環期間退化。如本文所使用,無氧可意謂氧氣濃度以體積計低於1000 ppm,更佳地以體積計低於100 ppm。
一旦片材堆疊經大量退火,個別片材可自堆疊分離。個別片材可經處理(例如,藉由氧氣電漿,在≧400℃之溫度下於氧氣環境中加熱,或藉由化學氧化SC1或SC2)以移除表面改質層790。個別片材可根據需要,例如,用作電子裝置基板(例如OLED裝置、FPD裝置或PV裝置)。
大量退火或大量處理之上述方法具有以經濟的方式維持潔淨片材表面之優點。更具體而言,從開始至結束,片材無需保持在潔淨環境中,如在無塵室退火爐中。作為替代,堆疊可在潔淨環境中形成,且隨後在標準退火爐(亦即,潔淨度未經控制之標準退火爐)中經處理,同時片材表面不因粒子變髒,因為片材之間無流體流動。因此,片材表面在環境中受保護,片材堆疊在該環境中經退火。退火之後,片材堆疊可容易地經運輸至進一步處理區域(在同一或不同設施中),因為片材維持一定程度之黏著,但在充足力下仍可彼此分離而不損壞片材。換言之,玻璃製造商(例如)可裝配及退火玻璃片之堆疊,且隨後將該等片材作為堆疊運送,其中該等片材在運送期間保持在一起(無需擔心片材在運輸期間分離),因此到達目的地後,片材可由客戶自堆疊分離,客戶可單獨地或以較小群組使用片材。一旦需要分離時,即可在潔淨環境中再次處理(必要時在清洗堆疊之後)片材堆疊。
大量退火之實例
玻璃基板以自熔融拉伸製程所接收之形態使用。熔融拉伸玻璃組成物為(莫耳%):SiO2 (67.7)、Al2 O3 (11.0)、B2 O3 (9.8)、CaO(8.7)、MgO(2.3)及SrO(0.5)。七(7)個0.7 mm厚、直徑為150 mm的熔融拉伸玻璃基板使用HF藉由微影方法以200 nm深之基準件/遊標尺圖案化。二(2)奈米之電漿沉積氟聚合物作為表面改質層塗佈在所有玻璃基板之所有結合表面上,亦即,面對另一基板的基板之每一個表面均經塗佈,因此所得的每個片材表面之表面能約為35 mJ/m2 。該7個經塗佈個別玻璃基板經置放在一起以形成單一厚基板(稱為「玻璃堆疊」)。玻璃堆疊在氮氣淨化管式爐中經退火,該氮氣淨化管式爐在15分鐘時間內自30℃升溫至590℃,在590℃下保持30分鐘,隨後在50分鐘時間內下降至約230℃,隨後將玻璃堆疊自爐中移出及在約10分鐘內冷卻至約30℃之室溫。冷卻後,自爐中移除基板,且使用剃刀脊將基板容易地分離為個別片材(亦即,樣品在整體或局部未永久性結合)。藉由比較玻璃基準件與未退火石英參照物,對每一個別基板的壓實進行量測。發現個別基板壓實約185 ppm。基板中之兩者作為個別樣品(未堆疊在一起)經歷如上所述之第二退火循環(590℃/保持30分鐘)。再次量測壓實,且發現基板歸因於第二次熱處理進一步壓實小於10 ppm(實際上0 ppm至2.5 ppm)(相較於原始玻璃尺寸,第二次熱處理後玻璃尺寸變化減去第一次熱處理後玻璃尺寸之變化)。因而,發明者已證實個別玻璃片可經塗佈、堆疊、在高溫下熱處理以實現壓實、冷卻、分離為個別片材及在第二次熱處理後具有<10 ppm及甚至<5 ppm之尺寸變化(相較於第一次熱處理後片材之大小)。
儘管上述退火實例中之爐用氮氣淨化,但視退火溫度及特定環境中表面改質層材料在彼等溫度下之穩定性而定,退火爐亦可用其他氣體淨化,該等氣體包括空氣、氬氣、氧氣、CO2 或以上各者之組合。
此外,儘管未圖示,但玻璃可以捲軸形式而非片材方式經退火。換言之,適當之表面改質層可形成於玻璃帶之一側或兩側上,且隨後滾制該帶。整個輥可經受上述針對片材之相同處理,因此整個捲軸之玻璃將退火而無玻璃包覆層與相鄰包覆層黏貼。一經展開,可藉由任何適當之製程移除表面改質層。
排氣
典型的晶圓結合應用中所使用之聚合物黏著劑通常為10微米至100微米厚,且在該等聚合物黏著劑之溫度限制處或接近該限制時,該等聚合物黏著劑之質量損耗約5%。對於由厚聚合物膜形成之該等材料而言,容易藉由質譜法量化質量損耗量或排氣之量。另一方面,更加具有挑戰性的是量測近似10 nm厚或更薄的薄表面處理(例如,上述之電漿聚合物或自組裝單層表面改質層,以及熱解矽油薄層)之排氣。對於該等材料而言,質譜法不夠靈敏。然而,存在量測排氣之多種其他方法。
量測少量排氣之第一種方式為基於表面能量測,且將參照第9圖描述該方式。為進行該試驗,可使用如第9圖中所圖示之設置。其上具有待試驗之表面改質層之第一基板(或載體)900展示待試驗之表面902,亦即,在組成物及厚度方面對應於表面改質層30的表面改質層。第二基板(或罩蓋)910經置放以使該第二基板(或罩蓋)910之表面912十分接近載體900之表面902但不與表面902接觸。表面912為未塗佈表面,亦即,用於製造罩蓋之基礎材料的表面。間隔物920置放在載體900與罩蓋910之間的多個點處,以使該兩者彼此保持間隔關係。間隔物920應足夠厚以分離罩蓋910與載體900,以便允許材料自一者至另一者的運動;但間隔物920又足夠薄,以使得在試驗期間,由腔室氣氛對表面902及912造成之污染量最小。載體900、間隔物920及罩蓋910共同形成試驗物件901。
在組裝實驗物件901之前,裸露表面912之表面能經量測,表面902(亦即,載體900之表面,該表面上提供有表面改質層)之表面能同樣經量測。如第10圖所圖示之表面能,極性分量及分散分量兩者均藉由使S. Wu (1971)研發之理論模型擬合三種試驗液體(水、二碘甲烷及十六烷)之三個接觸角而量測。(參考:S. Wu, J. Polym. Sci. C, 34, 19, 1971)。
組裝後,試驗物件901經置放至加熱腔室930內,及加熱一時間-溫度循環。在大氣壓下及流動之N2 氣(亦即,以每分鐘2標準公升之速率向箭頭940之方向流動)下執行加熱。
加熱循環期間,藉由表面902的表面能變化證實表面902之變化(包括例如歸因於蒸發、熱解、分解、聚合、與載體之反應及去濕的表面改質層之變化)。例如,表面902之表面能變化本身並不一定意謂表面改質層已排氣,但確實指示該材料在彼溫度下的總體不穩定性,因為該材料之特性由於以上所提到之機制而變化。因此,表面902之表面能變化得越少,表面改質層越穩定。另一方面,由於表面912十分接近表面902,自表面902排氣之任何材料將聚集在表面912上及將改變表面912之表面能。因此,表面912之表面能的變化代表表面902上存在之表面改質層的排氣。
因此,用於排氣之一個試驗使用罩蓋表面912之表面能變化。具體地,若存在表面912的表面能變化(≧10 mJ/m2 ),則存在排氣。此量級的表面能力變化與污染一致,該污染可導致薄膜黏著損耗或材料性質及裝置性能退化。表面能之變化(≦5 mJ/m2 )與表面能量測之重複性以及表面能之不均勻性關係密切。此較小變化與最少排氣一致。
在產生第10圖中之結果的試驗期間,載體900、罩蓋910以及間隔物920由Eagle XG玻璃(不含鹼金屬之鋁硼矽酸鹽顯示器等級玻璃,可購自Corning公司, Corning, NY)製成,但情況不一定如此。載體900及罩蓋910的直徑為150 mm、厚為0.63 mm。通常,載體910及罩蓋920將分別由與載體10及薄片20相同之材料製成,為此需進行排氣試驗。在此試驗期間,矽間隔物厚0.63 mm、寬2 mm且長8 cm,從而在表面902及912之間形成0.63 mm之間隙。在此試驗期間,腔室930併入MPT-RTP600s快速熱處理設備內,該設備以每分鐘9.2℃之速率自室溫循環至試驗極限溫度,在試驗極限溫度下保持不同時間(如圖表中「退火時間」所示),且隨後以爐速率冷卻至200℃。在烘箱冷卻至200℃之後,移除試驗物件,且在實驗物件冷卻至室溫後再次量測每一表面902及912之表面能。因此,舉例而言,使用關於達到450℃之極限溫度試驗的罩蓋表面能之變化的資料,對於材料#1(線1003)而言,收集資料如下。0分鐘時的資料點顯示75 mJ/m2 之表面能(毫焦耳每平方米),且為基礎玻璃之表面能,亦即,還未運行時間-溫度循環。一分鐘時的資料點指示在時間-溫度循環之後所量測之表面能,該時間-溫度循環如下執行:物件901(具有材料#1,該材料#1用作載體900上之表面改質層,以展示表面902)在室溫下及大氣壓下置放在加熱腔室930中;腔室以9.2℃每分鐘之速率加熱至450℃之試驗極限溫度,同時N2 氣體以每分鐘兩標準公升之速率流動,且在450℃之試驗極限溫度下保持1分鐘;隨後允許腔室以1℃每分鐘之速率冷卻至300℃,且隨後自室930中移除物件901;隨後允許物件冷卻至室溫(無N2 流動氣氛);表面912之表面能隨後經量測及在線1003上標繪為1分鐘時的點。材料#1(線1003、1004)的剩餘資料點以及材料#2(線1203、1204)、材料#3(線1303、1304)、材料#4(線1403、1404)、材料#5(線1503、1504)及材料#6(線1603及1604)之資料點隨後以類似方式在試驗極限溫度(視情況為450℃或600℃)下用對應於持續時間的退火時間分鐘數決定。除表面902之表面能在每一時間-溫度循環之後經量測之外,線1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、1601及1602之資料點以類似方式決定,該等點代表對應表面改質層材料(材料#1-6)的表面902之表面能。
針對以下所述六種不同材料實施以上之組裝製程及時間-溫度循環,且結果繪製在第10圖中。六種材料中,材料#1-4對應於上述之表面改質層材料。材料#5及材料#6為對比實例。
材料#1為CHF3 -CF4 電漿聚合之氟聚合物。此材料與以上實例3b中之表面改質層一致。如第10圖所圖示,線1001及線1002顯示載體之表面能未顯著變化。因此,此材料在450℃至600℃之溫度下十分穩定。另外,如線1003及線1004所示,罩蓋之表面能亦未顯著變化,亦即,該變化≦5mJ/m2 。因此,在450℃至600℃下無與此材料相關之排氣。
材料#2為苯基矽烷,即一自組裝單層(SAM),該自組裝單層(SAM)由苯基三乙氧基矽烷之1%甲苯溶液沉積,及在真空烘箱中於190℃下固化30分鐘。此材料與以上實例4c中之表面改質層一致。如第10圖所示,線1201及線1202指示載體之表面能的某一變化。如上所述,此情況指示表面改質層的某一變化,且相對地,材料#2相較於材料#1稍不穩定。然而,如線1203及線1204所示,載體之表面能的變化≦5mJ/m2 ,表示表面改質層之變化未導致排氣。
材料#3為五氟苯基矽烷,即由五氟苯基三乙氧基矽烷之1%甲苯溶液沉積及在真空烘箱中於190℃下固化30分鐘的SAM。此材料與以上實例4e中之表面改質層一致。如第10圖所示,線1301及線1302指示載體上之表面能的某一變化。如上所述,此情況指示表面改質層的某一變化,且相對地,材料#3相較於材料#1稍不穩定。然而,如線1303及線1304所示,載體之表面能的變化≦5mJ/m2 ,表示表面改質層之變化未導致排氣。
材料#4為六甲基二矽氮烷(HMDS),該HMDS在YES HMDS烘箱中於140℃下由氣相沉積而成。此材料與以上表2之實例2b中的表面改質層一致。如第10圖所示,線1401及線1402指示載體之表面能的某一變化。如上所述,此情況指示表面改質層的某一變化,且相對地,材料#4相較於材料#1稍不穩定。另外,材料#4之載體之表面能變化大於材料#2及材料#3所中任一者之表面能變化,指示相對而言,材料#4相較於材料#2及材料#3稍不穩定。然而,如線1403及線1404所示,載體之表面能的變化≦5mJ/m2 ,表示表面改質層之變化未導致影響罩蓋之表面能的排氣。然而,此與HMDS排氣之方式一致。換言之,HMDS排出氨氣及水,該氨氣及水不影響罩蓋之表面能,且可不影響一些電子製造設備及/或處理。另一方面,當排氣之產物截留在薄片及載體之間時,可存在如以下關於第二排氣試驗所述的其他問題。
材料#5為縮水甘油醚氧基丙基矽烷,即由縮水甘油醚氧基丙基三乙氧基矽烷之1%甲苯溶液沉積及在真空烘箱中於190℃下固化30分鐘的SAM。此為對比示例性材料。儘管如線1501及線1502所示,載體之表面能變化相對較小,但如線1503及線1504所示,罩蓋之表面能變化顯著。見第10圖。換言之,儘管材料#5在載體表面上相對穩定,但該材料#5確實使大量材料排氣至罩蓋表面上,藉此罩蓋表面能變化≧10mJ/m2 。儘管在600℃下在10分鐘結束時表面能在10 mJ/m2 之內,但在彼時間期間之變化超過了10 mJ/m2 。例如,見1分鐘及5分鐘時的資料點。儘管不希望受限於理論,但自5分鐘至10分鐘的表面能之輕微上漲可能致使一些經排氣之材料的分解以及罩蓋表面的脫落。
材料#6為DC704,即藉由將5 ml Dow Corning 704擴散泵用油四甲基四苯基三矽氧烷(可購自Dow Corning)分配於載體上、將載體置放在500℃之熱板上在空氣中維持8分鐘而製備的聚矽氧塗層。樣品製備的完成以可見煙霧的結束為標誌。在用以上方式製備樣品之後,進行上述排氣試驗。此為對比示例性材料。如第10圖所示,線1601及線1602指示載體之表面能的某一變化。如上所述,此情況指示表面改質層的某一變化,且相對地,材料#6相較於材料#1較不穩定。另外,如線1603及線1604所述,載體之表面能變化≧10mJ/m2 ,表示顯著之排氣。更特定而言,在450℃之試驗極限溫度下,10分鐘處之資料點顯示約15 mJ/m2 的表面能減少,且在1分鐘及5分鐘處的點顯示甚至更多的表面能減少。類似地,在10分鐘數據點處,600℃之試驗極限溫度下的循環期間,罩蓋之表面能變化(亦即罩蓋之表面能減少)約為25 mJ/m2 ,在5分鐘時稍大,且在1分鐘時稍小。然而,總而言之,此材料在試驗之整個範圍內顯示出大量排氣。
顯著地,對於材料#1-4而言,貫穿時間-溫度循環之表面能指示罩蓋表面保持與基礎玻璃之表面能一致的表面能,亦即,未自載體表面處收集到經排氣之材料。在材料#4之情況下,如關於表2所述,處理載體及薄片之方式對於物件(薄片經由表面改質層與載體結合在一起)是否將通過FPD處理產生極大影響。因此,儘管第10圖中所圖示之材料#4的實例可能不排氣,但此材料可能通過或可能無法通過如關於表2之論述所述的400℃或600℃試驗。
第二種量測少量排氣之方式是基於組裝之物件,亦即,其中薄片經由表面改質層與載體結合之物件,且該方式使用氣泡面積百分比之變化決定排氣。換言之,在加熱物件期間,形成於載體及薄片之間的氣泡指示表面改質層之排氣。如以上關於第一種排氣試驗所述,難以量測極薄表面改質層的排氣。在此第二種試驗中,薄片下之排氣可因薄片與載體之間的強力黏著而受限。儘管如此,厚度≦10 nm之層(例如,電漿聚合材料、SAM及熱解矽油表面處理)仍可在熱處理期間產生氣泡,縱使該等層之絕對質量損耗較小。且薄片與載體之間的氣泡產生可導致圖案生成問題、光刻處理問題及/或將裝置處理至薄片上之期間的對準問題。另外,薄片與載體之間的結合區域之邊界出的氣泡可導致源自一個製程的製程流體污染下游製程的問題。氣泡面積之≧5的變化百分比為顯著的,指示排氣,且是不良的。另一方面,氣泡面積之≦1的變化百分比為不顯著的且為不存在排氣之指示。
在1000級無塵室中經由人工結合結合之薄玻璃的平均氣泡面積為1%。結合載體的氣泡百分比隨載體、薄玻璃及表面處理之潔淨度而變化。因為此等初始缺陷充當熱處理後氣泡生長之成核位置,熱處理後任何小於1%的氣泡面積變化均屬樣品處理之可變性。為實施此試驗,使用可商購的具有透明單元之桌上型掃描器(Epson Expression 10000XL Photo)在薄片與載體結合後立即獲得薄片及載體之結合區域的第一掃描影像。該等部分使用標準Epson軟體進行掃描,該標準Epson軟體使用508 dpi(50微米/像素)及24位RGB。影像處理軟體首先在必要時藉由將樣品的不同截面之影像拼接為單一影像及移除掃描器假影(藉由使用在掃描器中無樣品之情況下執行的校準參考掃描)而製備一個影像。隨後使用諸如定限、孔洞填充、腐蝕/膨脹及二進制大型物件分析(blob analysis)的標準影像處理技術對結合區域進行分析。新型Epson Expression 11000XL Photo亦可以類似方式使用。在傳輸模式中,結合區域中之氣泡在掃描影像中可見,且可決定氣泡面積的值。隨後,將氣泡面積與總結合面積(亦即,薄片與載體之間的總重疊面積)相比較以計算結合區域中氣泡相對於總結合面積的面積百分比。樣品隨後在MPT-RTP600s快速熱處理系統內N2 氣氛中於300℃、450℃及600℃之試驗極限溫度下熱處理長達10分鐘。具體地,進行之時間-溫度循環包括:將物件插入室溫下及大氣壓下的加熱腔室中;隨後以每分鐘9℃之速率將腔室加熱至試驗極限溫度;腔室在試驗極限溫度下保持10分鐘;隨後腔室以爐速率冷卻至200℃;物件自腔室移除且經允許冷卻至室溫;隨後使用光學掃描器第二次掃描物件。隨後如上計算第二次掃描的氣泡面積百分比及與第一次掃描的氣泡面積百分比對比,以決定氣泡面積變化百分比(Δ氣泡面積百分比)。如上所述,≧5%的氣泡面積變化為顯著的且指示排氣。由於原始氣泡面積百分比之可變性,氣泡面積變化百分比經選定為量測標準。換言之,由於薄片與載體處理後及薄片與載體結合前的處置及潔淨度,多數表面改質層在第一次掃描中具有約2%之氣泡面積。然而,不同材料可不同。關於第一種排氣試驗方法所述的同樣之材料#1-6再次用於此第二種排氣試驗方法。在此等材料中,材料#1-4在第一次掃描中展現出約2%之氣泡面積,而材料#5及#6在第一次掃描中顯示出顯著較大之氣泡面積,亦即,約4%。
將參照第11圖及第12圖對第二種排氣試驗之結果進行描述。材料#1-3之排氣試驗結果圖示於第11圖中,而材料#4-6之排氣試驗結果圖示於第12圖中。
材料#1之結果在第11圖中以方形資料點圖示。如圖可見,在300℃、450℃及600℃之試驗極限溫度下,氣泡面積變化百分比近似為零。因此,材料#1在此等溫度下未顯示排氣。
材料#2之結果在第11圖中以菱形資料點圖示。如圖可見,在450℃及600℃之試驗極限溫度下,氣泡面積變化百分比小於1。因此,材料#2在此等溫度下未顯示排氣。
材料#3之結果在第11圖中以三角形資料點圖示。如圖可見,與材料#1之結果類似,在300℃、450℃及600℃之試驗極限溫度下,氣泡面積變化百分比近似為零。因此,材料#1在此等溫度下未顯示排氣。
材料#4之結果在第12圖中以圓形資料點圖示。如圖可見,在300℃之試驗極限溫度下,氣泡面積變化百分比近似為零,但在450℃及600℃之試驗極限溫度下,對於一些樣品近似為1%,且在450℃及600℃之試驗極限溫度下,對於相同材料的另一些樣品約為5%。材料#4之結果極不一致,且視用HMDS材料處理薄片表面及載體表面以用於結合的方式而定。視製備樣品之方式而定的執行樣品之方式與以上關於表2所述的該材料之實例及相關論述一致。注意,對於該材料而言,在450℃及600℃之試驗極限溫度下具有近似為1%的氣泡面積變化百分比的樣品不允許根據上述分離試驗分離薄片與載體。換言之,薄片與載體之間的強力黏著可具有有限之氣泡生成。另一方面,具有近似5%之氣泡面積變化百分比的樣品允許薄片與載體之分離。因此,不具排氣之樣品在將載體與薄片黏貼在一起(防止薄片自載體移除)的溫度處理之後具有不良增強黏著結果;而允許薄片與載體之移除的樣品具有不良排氣結果。
材料#5之結果在第12圖中以三角形資料點圖示。如圖可見,在300℃之試驗極限溫度下,氣泡面積變化百分比約為15%,且遠遠超過450℃及600℃之較高試驗極限溫度下的氣泡面積變化百分比。因此,材料#5在此等溫度下顯示顯著排氣。
材料#6之結果在第12圖中以方形資料點圖示。如此圖可見,在300℃之試驗極限溫度下,氣泡面積變化百分比超過2.5%,且在450℃及600℃之試驗極限溫度下超過5%。因此,材料#6在450℃及600℃之試驗極限溫度下顯示顯著排氣。
結論
應強調,本發明之上述實施例(特別是任何「較佳」實施例)僅為實施之可能實例且僅經闡述用於清晰理解本發明之各種原理。在不實質脫離本發明之精神及各種原理的情況下可對本發明之上述實施例做出許多改變及修改。本文意在將所有該等修改及改變包括在本揭示案及本發明之範疇內,且該等修改及改變受以下申請專利範圍保護。
例如,儘管許多實施例中之表面改質層30圖示為且論述為形成於載體10上,但作為替代,或另外地,該表面改質層30可形成在薄片20上。換言之,如實例4及實例3中所述之材料可塗覆於載體10、薄片20或載體10及薄片20兩者的將結合在一起的面上。
此外,儘管一些表面改質層30經描述為控制結合強度以便即使在400℃或600℃之溫度下處理物件2後仍允許薄片20自載體10移除,但當然,可能在較低溫度(該溫度低於物件所通過之特定試驗之溫度)下處理物件2,且仍實現在不對薄片20或載體10造成損壞之情況下將薄片20自載體10移除的相同能力。
又或者,儘管本文中已將受控結合之概念描述為用於載體及薄片,但在某些情況下,該等受控結合之概念適用於控制較厚的玻璃片、陶瓷片、或玻璃陶瓷片之間的結合,在該等結合中可能需要使該等片材(或片材之部分)彼此拆離。
更進一步,儘管本文已將受控結合之概念描述為可用於玻璃載體及玻璃薄片,但載體可由其他材料製成,例如陶瓷、玻璃陶瓷或金屬。類似地,可控地結合至載體的片材可由其他材料製成,例如陶瓷或玻璃陶瓷。
根據第一態樣,提供一種使玻璃退火之方法,該方法包含: 堆疊複數個玻璃層,玻璃層之每一者具有兩個主表面,以使得在複數個玻璃層中之玻璃層之相鄰者之間界定界面,其中表面改質層沉積在主表面中面對界面中之一者的至少一者上; 將玻璃層堆疊充分暴露一時間-溫度循環,以壓實玻璃層中之每一者, 其中表面改質層足以在整個時間-溫度循環中控制堆疊中的玻璃層之相鄰者之間的結合,該等相鄰者界定界面中之一者;其中結合經控制為具有適當之力,以使得在一層經固持而另一層經受重力是,該一層不與另一層分離,但使得該等層可分離而不使玻璃層中之相鄰者之一者破裂成兩塊或更多塊。
根據第二態樣,提供如態樣1所述之方法,其中時間-溫度循環包括≧400℃但小於玻璃片之應變點的溫度。
根據第三態樣,提供如態樣1所述之方法,其中時間-溫度循環包括≧600℃但小於玻璃片之應變點的溫度。
根據第四態樣,提供如態樣1至態樣3中任一態樣所述之方法,其中表面改質層為以下各者中之一者:HMDS、電漿聚合氟聚合物及芳族矽烷。
根據第五態樣,提供如態樣4所述之方法,其中當表面改質層包含電漿聚合之氟聚合物時,表面改質層為以下各者中之一者:電漿聚合之聚四氟乙烯;以及電漿聚合之氟聚合物表面改質層,該表面改質層由具有≦40%之C4 F8 的CF4 -C4 F8 混合物沉積而成。
根據第六態樣,提供如態樣4所述之方法,其中當表面改質層包含芳族矽烷時,表面改質層為苯基矽烷。
根據第七態樣,提供如態樣4所述之方法,其中當表面改質層包含芳族矽烷時,表面改質層為以下各者中之一者:苯基三乙氧基矽烷、二苯基二乙氧基矽烷以及4-五氟苯基三乙氧基矽烷。
根據第八態樣,提供如態樣1至態樣7中任一態樣所述之方法,其中時間-溫度循環在無氧環境中進行。
根據第九態樣,提供如態樣1至態樣8中任一態樣所述之方法,其中玻璃層堆疊包含軋製玻璃片。
2 ‧‧‧玻璃物件
5‧‧‧線
8‧‧‧厚度
10‧‧‧載體
12‧‧‧第一表面
14‧‧‧結合表面
16‧‧‧周邊
18‧‧‧厚度
20‧‧‧薄片
22‧‧‧第一表面
24‧‧‧結合表面
26‧‧‧周邊
28‧‧‧厚度
30‧‧‧表面改質層
38‧‧‧厚度
40‧‧‧結合區域
50‧‧‧受控結合區域
52‧‧‧周邊
56‧‧‧所需部分
57‧‧‧周邊
402‧‧‧線
404‧‧‧線
406‧‧‧線
502‧‧‧線
504‧‧‧線
506‧‧‧線
760‧‧‧堆疊
770‧‧‧玻璃片
771‧‧‧玻璃片
772‧‧‧玻璃片
776‧‧‧第一主表面
778‧‧‧第二主表面
780‧‧‧罩蓋片
781‧‧‧罩蓋片
790‧‧‧表面改質層
791‧‧‧界面
792‧‧‧界面
793‧‧‧界面
794‧‧‧界面
900‧‧‧載體
902‧‧‧表面
910‧‧‧載體
912‧‧‧表面
920‧‧‧間隔物
930‧‧‧加熱腔室
940‧‧‧箭頭
1001-1004‧‧‧線
1201-1204‧‧‧線
1301-1304‧‧‧線
1401-1404‧‧‧線
1501-1504‧‧‧線
1601-1604‧‧‧線
第1圖為物件的示意性側視圖,該物件具有結合至薄片之載體,載體與薄片之間具有表面改質層。
第2圖為第1圖中之物件的分解圖及局部剖視圖。
第3圖為二氧化矽之表面羥基濃度隨溫度變化之曲線圖。
第4圖為經SC1清洗之玻璃片的表面能隨退火溫度變化之曲線圖。
第5圖為沉積在玻璃片上之氟聚合物薄膜的表面能隨著製造薄膜之構成材料中之一者的百分數變化的曲線圖。
第6圖為藉由結合區域結合至載體之薄片的示意性頂視圖。
第7圖為玻璃片堆疊之示意性側視圖。
第8圖為第7圖中之堆疊之一個實施例的分解圖。
第9圖為試驗設置的示意圖。
第10圖為各種材料在不同條件下(第9圖之試驗設置中不同部分之)表面能相對於時間的曲線圖之集合。
第11圖為各種材料之氣泡面積變化(%)相對於溫度的圖表。
第12圖為各種材料之氣泡面積變化(%)相對於溫度的另一圖表。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (10)

  1. 一種使玻璃退火之方法,該方法包含以下步驟:堆疊複數個玻璃層,該等玻璃層中之每一者具有兩個主表面,以使得在該等複數個玻璃層中之該等玻璃層之相鄰者之間界定界面,其中該玻璃層堆疊包含一軋製玻璃片,且其中一表面改質層配置在該等主表面中面對該等界面中之一者的至少一者上;將該玻璃層堆疊充分暴露一時間-溫度循環,以壓實該等玻璃層中之每一者,其中該表面改質層足以在整個該時間-溫度循環中控制該堆疊中的該等玻璃層之該等相鄰者之間的結合,該等相鄰者界定該等界面中之該一者,其中結合經控制為具有一適當之力,以使得在一層經固持而另一層經受重力時,該一層不與另一層分離,但使得該等層可分離而不使該等玻璃層中之該等相鄰者之一者破裂成兩塊或更多塊,其中該表面改質層為以下各者中之一者:HMDS、一電漿聚合之氟聚合物及一芳族矽烷。
  2. 如請求項1所述之方法,其中該時間-溫度循環包括≧400℃但小於該玻璃之應變點的一溫度。
  3. 如請求項1所述之方法,其中該時間-溫度循環包括≧600℃但小於該玻璃之應變點的一溫度。
  4. 如請求項1所述之方法,其中當該表面改質層包含一電漿聚合之氟聚合物時,該表面改質層為以下各者中之一者:電漿聚合之聚四氟乙烯;以及一電漿聚合之氟聚合物表面改質層,該電漿聚合之氟聚合物表面改質層由具有≦40%之C4F8的CF4-C4F8混合物沉積而成。
  5. 如請求項1所述之方法,其中當該表面改質層包含一芳族矽烷時,該表面改質層為一苯基矽烷。
  6. 如請求項1所述之方法,其中當該表面改質層包含一芳族矽烷時,該表面改質層為以下各者中之一者:苯基三乙氧基矽烷、二苯基二乙氧基矽烷以及4-五氟苯基三乙氧基矽烷。
  7. 如請求項1所述之方法,其中該時間-溫度循環在一無氧環境中進行。
  8. 如請求項1所述之方法,其中具有該表面改質層於其上之該等主表面的該至少一者具有≧40mJ/m2之表面能。
  9. 如請求項1所述之方法,其中面對該等界面中之該一者之該等層的該等主表面之間的黏著能大於約24mJ/m2
  10. 如請求項1所述之方法,其中面對該等界面中之該一者之該等層的該等主表面之間的黏著能為50至1000mJ/m2
TW106121917A 2013-03-15 2014-03-13 玻璃片之大量退火 TWI679175B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361791418P 2013-03-15 2013-03-15
US61/791,418 2013-03-15
US14/047,251 US9340443B2 (en) 2012-12-13 2013-10-07 Bulk annealing of glass sheets
US14/047,251 2013-10-07

Publications (2)

Publication Number Publication Date
TW201736292A TW201736292A (zh) 2017-10-16
TWI679175B true TWI679175B (zh) 2019-12-11

Family

ID=51580938

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103109136A TWI594960B (zh) 2013-03-15 2014-03-13 玻璃片之大量退火
TW106121917A TWI679175B (zh) 2013-03-15 2014-03-13 玻璃片之大量退火

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103109136A TWI594960B (zh) 2013-03-15 2014-03-13 玻璃片之大量退火

Country Status (6)

Country Link
EP (1) EP2969997A1 (zh)
JP (2) JP6186493B2 (zh)
KR (1) KR102239613B1 (zh)
CN (1) CN105658594B (zh)
TW (2) TWI594960B (zh)
WO (1) WO2014151353A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
TWI594960B (zh) * 2013-03-15 2017-08-11 康寧公司 玻璃片之大量退火
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6770432B2 (ja) * 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
KR20160145062A (ko) 2014-04-09 2016-12-19 코닝 인코포레이티드 디바이스 변경된 기판 물품 및 제조 방법
JP2018524201A (ja) * 2015-05-19 2018-08-30 コーニング インコーポレイテッド シートをキャリアと結合するための物品および方法
JP7106276B2 (ja) 2015-06-26 2022-07-26 コーニング インコーポレイテッド シート及び担体を有する物品及び方法
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
KR102346393B1 (ko) * 2017-06-19 2022-01-03 동우 화인켐 주식회사 터치센서를 포함하는 플렉시블 표시장치의 제조방법
CN111615567B (zh) 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201000309A (en) * 2008-04-17 2010-01-01 Asahi Glass Co Ltd Glass laminate, display panel with support, method for producing glass laminate and method for manufacturing display panel with support
TW201033000A (en) * 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
TW201206697A (en) * 2009-10-20 2012-02-16 Asahi Glass Co Ltd Glass laminate, glass laminate manufacturing method, display panel manufacturing method, and display panel obtained by means of display panel manufacturing method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US20050001201A1 (en) * 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
WO2007129554A1 (ja) * 2006-05-08 2007-11-15 Asahi Glass Company, Limited 薄板ガラス積層体、薄板ガラス積層体を用いた表示装置の製造方法および、支持ガラス基板
CN101925996B (zh) * 2008-01-24 2013-03-20 布鲁尔科技公司 将器件晶片可逆地安装在载体基片上的方法
JP5029523B2 (ja) * 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
WO2010129459A2 (en) * 2009-05-06 2010-11-11 Corning Incorporated Carrier for glass substrates
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
RU2540725C2 (ru) * 2010-02-03 2015-02-10 Асахи Гласс Компани, Лимитед Способ и устройство для отжига листового стекла
US20110250346A1 (en) * 2010-04-07 2011-10-13 Remington Jr Michael P Adhesion of organic coatings on glass
CN103649002A (zh) * 2011-07-12 2014-03-19 旭硝子株式会社 带层叠膜的玻璃基板的制造方法
TWI594960B (zh) * 2013-03-15 2017-08-11 康寧公司 玻璃片之大量退火

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201000309A (en) * 2008-04-17 2010-01-01 Asahi Glass Co Ltd Glass laminate, display panel with support, method for producing glass laminate and method for manufacturing display panel with support
TW201033000A (en) * 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
TW201206697A (en) * 2009-10-20 2012-02-16 Asahi Glass Co Ltd Glass laminate, glass laminate manufacturing method, display panel manufacturing method, and display panel obtained by means of display panel manufacturing method

Also Published As

Publication number Publication date
JP2017214281A (ja) 2017-12-07
JP6186493B2 (ja) 2017-08-23
WO2014151353A1 (en) 2014-09-25
CN105658594B (zh) 2019-01-04
EP2969997A1 (en) 2016-01-20
TW201736292A (zh) 2017-10-16
JP6434096B2 (ja) 2018-12-05
CN105658594A (zh) 2016-06-08
TWI594960B (zh) 2017-08-11
KR20150127274A (ko) 2015-11-16
KR102239613B1 (ko) 2021-04-13
TW201442968A (zh) 2014-11-16
JP2016516657A (ja) 2016-06-09

Similar Documents

Publication Publication Date Title
TWI679175B (zh) 玻璃片之大量退火
KR102237812B1 (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
US10538452B2 (en) Bulk annealing of glass sheets
JP6353461B2 (ja) Oledデバイスの加工方法
JP6310479B2 (ja) シートとキャリアとの間の結合を制御するための促進された加工
US20150099110A1 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
US20150329415A1 (en) Glass and methods of making glass articles

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees