JP7106276B2 - シート及び担体を有する物品及び方法 - Google Patents

シート及び担体を有する物品及び方法 Download PDF

Info

Publication number
JP7106276B2
JP7106276B2 JP2017566697A JP2017566697A JP7106276B2 JP 7106276 B2 JP7106276 B2 JP 7106276B2 JP 2017566697 A JP2017566697 A JP 2017566697A JP 2017566697 A JP2017566697 A JP 2017566697A JP 7106276 B2 JP7106276 B2 JP 7106276B2
Authority
JP
Japan
Prior art keywords
sheet
carrier
modification layer
bonding
binding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017566697A
Other languages
English (en)
Other versions
JP2018526244A (ja
Inventor
アラン ベルマン,ロバート
ジョージ マンリー,ロバート
マズムダー,プランティク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of JP2018526244A publication Critical patent/JP2018526244A/ja
Application granted granted Critical
Publication of JP7106276B2 publication Critical patent/JP7106276B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • C03C15/02Surface treatment of glass, not in the form of fibres or filaments, by etching for making a smooth surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/1055Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the resin layer, i.e. interlayer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10009Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets
    • B32B17/10036Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets comprising two outer glass sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10009Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets
    • B32B17/10128Treatment of at least one glass sheet
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/1055Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the resin layer, i.e. interlayer
    • B32B17/10559Shape of the cross-section
    • B32B17/10577Surface roughness
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/32Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with synthetic or natural resins
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/08Glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/355Temporary coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Laminated Bodies (AREA)
  • Joining Of Glass To Other Materials (AREA)

Description

関連技術の相互参照
本出願は、2015年6月26日出願の米国仮特許出願第62/185095号の米国特許法第119条に基づく優先権を主張するものであって、その内容に依拠し、参照により全内容が本明細書に援用されるものである。
本開示は、概して、シート及び担体を有する物品及び方法に関し、特には、担体に一時的に結合されたシートを提供する物品及び方法に関するものである。
より薄く、より軽く、より柔軟で、より耐久性があるディスプレイを構成する可能性が得られる、フレキシブルガラスシートの提供が望まれている。しかし、ガラスシートの柔軟性を増加させると、主として比較的厚く比較的硬いガラスシートを処理するように設計されている装置を用いて、ガラスを処理することには障害がある。担体にフレキシブルガラスシートを一時的に結合することによって、この懸念に対処することができる。この結果得られる物品は、フレキシブルガラスシートそのものと比較して、比較的厚く、比較的硬い。次に、ガラスシートを担体に結合したままの状態で、ガラスシートを処理する装置によって物品を取り扱うことができる。処理後、フレキシブルガラスシートを担体から外して、後にディスプレイ又は他の装置に用いることができる。
物品が処理装置によって処理されている間、担体にフレキシブルシート(例えば、フレキシブルガラスシート)を結合させるのに十分なファンデルワールス結合を利用して、担体にシートを一時的に結合したいという要望がある。更に、フレキシブルシート及び/又は担体を損傷又は破壊せずに、その後、担体からシートを取り外すのを複雑又は阻止し得るシートと担体との間の共有結合の形成が抑制、例えば、防止又は低減されるように、担体にシートを一時的に結合させたいという要望がある。担体の結合表面及びシートの結合表面の一方の表面粗さを増加させることによって、高温処理中又は高温処理後における、シートと担体との間の共有結合の形成を抑制、例えば、防止又は低減することができる。しかし、表面粗さを増加させると、低温処理中に利用されるシートと担体とを互いに結合するファンデルワールス結合力が、表面に自発的な結合が生じない又は生じても非常に弱く、装置による処理に耐えられない点まで大幅に低下し得る。
以下は、詳細な説明に記載の一部の例示的な態様について、基本的な理解を得るために、本開示を簡略化した概要の提示である。本開示は、プラズマ重合材料を含む表面改質層を提供するものである。プラズマ重合材料は、何もしなければ、中程度の表面粗さを有する担体結合表面及びシート結合表面の少なくとも一方を平坦化する(本明細書において、表面粗さは、二乗平均平方根表面粗さRqを意味する)。プラズマ重合材料で表面粗さを減少させることによって、ファンデルワールス結合力を十分に高くして、担体に対するシートの当初の結合が、装置による処理に耐えることができるようになる。同時に、プラズマ重合材料によって、もしなければ、処理後にシートを担体から分離するのが阻止されるであろう、シートと担体との間の共有結合の形成が、抑制、例えば、防止又は低減される。
本開示の第1の態様において、物品は、担体結合表面を有する担体と、シート結合表面を有するシートと、担体結合表面及びシート結合表面の少なくとも一方に配置された表面改質層とを備えている。表面改質層は、プラズマ重合材料を含んでいる。プラズマ重合材料は、担体結合表面及びシート結合表面の少なくとも一方を平坦化する。担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面が、表面改質層に結合されている。
第1の態様の1つの例において、平坦化された担体結合表面及び平坦化されたシート結合表面の少なくとも一方が、約0.8nm未満の表面粗さRqを有している。
第1の態様の更なる例において、担体結合表面及びシート結合表面の少なくとも一方が、約0.8nm~約5.0nmの範囲内の表面粗さRqを有している。
第1の態様の別の例において、担体結合表面及びシート結合表面の少なくとも一方が、約2.0nmを超える表面粗さRqを有している。
第1の態様の更に別の例において、表面改質層が、担体結合表面の一部及びシート結合表面の一部の少なくとも一方が、表面改質層に侵入できるように、弾性率及び塑性の少なくとも一方を有している。例えば弾性率は、約0.6GPa~約20GPaの範囲内である。
第1の態様の更に別の例において、担体及びシートの少なくとも一方が、ガラスを含んでいる。
第1の態様の更に別の例において、担体が、約200μm~約3mmの範囲内の厚さを有している。
第1の態様の更に別の例において、シートが、約300μm以下の厚さを有している。
第1の態様の更に別の例において、表面改質層が、約0.1nm~約100nmの範囲内の厚さを有している。
第1の態様は、単独、又は前述の第1の態様の例の1つ又は任意の組み合わせと組み合わせて、提供することができる。
本開示の第2の態様において、物品は、担体結合表面を有する担体と、シート結合表面を有するシートと、担体結合表面に配置された担体表面改質層及びシート結合表面に配置されたシート表面改質層の少なくとも一方とを備えている。担体表面改質層及びシート表面改質層の少なくとも一方が、プラズマ重合材料を含んでいる。プラズマ重合材料は、担体結合表面及びシート結合表面の少なくとも一方を平坦化する。担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面が、担体表面改質層及びシート表面改質層の少なくとも一方に結合されている。
第2の態様の1つの例において、担体表面改質層及びシート表面改質層の少なくとも一方に関し、物品は担体表面改質層のみを有している。シート結合表面の少なくとも一部が、担体表面改質層に侵入している。
第2の態様の別の例において、担体表面改質層及びシート表面改質層の少なくとも一方に関し、物品はシート表面改質層のみを有している。担体結合表面の少なくとも一部が、シート表面改質層に侵入している。
第2の態様の更に別の例において、担体表面改質層及びシート表面改質層の少なくとも一方に関し、物品は担体表面改質層及びシート表面改質層の両方を有している。(i)シート結合表面の一部が、担体表面改質層に侵入している、及び(ii)担体結合表面の一部が、シート表面改質層に侵入しているうちの少なくとも一方である。
第2の態様の更に別の例において、平坦化された担体結合表面及び平坦化されたシート結合表面の少なくとも一方が、約0.8nm未満の表面粗さRqを有している。
第2の態様の更に別の例において、担体結合表面及びシート結合表面の少なくとも一方が、約0.8nm~約5.0nmの範囲内の表面粗さRqを有している。
第2の態様は、単独、又は前述の第2の態様の例の1つ又は任意の組み合わせと組み合わせて、提供することができる。
本開示の第3の態様において、物品を製造する方法が、担体の担体結合表面及びシートのシート結合表面の少なくとも一方に、表面改質層を蒸着するステップを備えている。表面改質層はプラズマ重合材料を含んでいる。プラズマ重合材料は、担体結合表面及びシート結合表面の少なくとも一方を平坦化する。本方法は、担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方を表面改質層に結合するステップを備えている。
第3の態様の1つの例において、平坦化された担体結合表面及び平坦化されたシート結合表面の少なくとも一方が、約0.8nm未満の表面粗さRqを有している。
第3の態様の別の例において、担体結合表面及びシート結合表面の少なくとも一方が、約0.8nm~約5.0nmの範囲内の表面粗さRqを有している。
第3の態様の更に別の例において、本方法は、担体結合表面の一部及びシート結合表面の一部の少なくとも一方が、表面改質層に侵入できるようにする、表面改質層の弾性率及び塑性率の少なくとも一方を更に含んでいる。
第3の態様は、単独、又は前述の第3の態様の例の1つ又は任意の組み合わせと組み合わせて、提供することができる。
本発明の前述及びその他の特徴、態様、及び効果は、添付図面を参照しながら、以下の詳細な説明を読むことによってより良く理解される。
非組立(非結合)状態の例示的な物品を示す図。 組立(一時的に結合された)状態の例示的な物品を示す図。 担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一時的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が表面改質層に結合される実施の形態を示す図。 担体がシートに一次的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一次的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が表面改質層に結合される実施の形態を示す図。 担体がシートに一次的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一次的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一次的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。 担体がシートに一次的に結合されるように、担体結合表面及びシート結合表面の少なくとも一方が、表面改質層に結合される実施の形態を示す図。
ここで、以下添付図面を参照して、例についてより詳細に説明する。図面全体を通して、可能な限り、同一又は同様の部品には同じ参照番号を用いている。
図1及び図2は、単独又は組み合わせて使用することができる、様々な例示的な特徴を有する例示的な物品100を示す図である。図1は非組立(例えば、非結合)状態の例示的な物品100を示し、図2は組立(例えば、結合)状態の例示的な物品100を示している。図示のように、物品100は、担体110、シート120、及び表面改質層130を備えることができる。担体は担体結合表面115を有することができる。シートはシート結合表面125を有することができる。図2に示すように、担体110がシート120に一時的に結合されるように、担体結合表面115、シート結合表面125、及び表面改質層130を互いに結合して、物品100を形成することができる。
担体110は、ガラス(例えば、アルミノシリケート、ホウケイ酸塩、アルミノホウケイ酸塩、ソータライムケイ酸塩、アルカリ含有、又はアルカリ非含有等)、セラミック、ガラスセラミック、金属、シリコン、ポリシリコン、単結晶シリコン、サファイヤ、石英、又は本明細書に明示的に記載してないものを含む、他の任意の材料を単独又は別の材料と組み合わせて構成又は含むことができる。加えて、担体110は、図示のように1つの層、又は互いに結合された複数の層(同じ又は異なる材料の複数の層を含む)を含むことができる。更に、担体110は、第一世代のサイズ以上、例えば、第二世代、第三世代、第四世代、第五世代、第八世代、又はそれより大きいサイズ(例えば、100mm×100mm~3m×3m、又はそれより大きいシートサイズ)であってよい。シート120は、ガラス(例えば、アルミノシリケート、ホウケイ酸塩、アルミノホウケイ酸塩、ソータライムケイ酸塩、アルカリ含有、又はアルカリ非含有等)、セラミック、ガラスセラミック、金属、ポリマー又は複数のポリマー、ポリマー又は複数のポリマーとガラスの合成物、シリコン、ポリシリコン、単結晶シリコン、サファイヤ、石英、又は本明細書に明示的に記載してないものを含む、他の任意の材料を単独又は別の材料と組み合わせて構成又は含むことができる。更に、シート120は、第一世代のサイズ以上、例えば、第二世代、第三世代、第四世代、第五世代、第八世代、又はそれより大きいサイズ(例えば、100mm×100mm~3m×3m、又はそれより大きいシートサイズ)であってよい。更に、シート120は、スルーホールビアを有する、インターポーザ(例えば、インターポーザシート又はインターポーザウェーハ)であってよい。
物品100は、物品厚さ101を有することができ、担体110は、担体厚さ111を有することができ、シート120は、シート厚さ121を有することができ、表面改質層130は表面改質層厚さ131を有することができる。物品厚さ101は、担体厚さ111、シート厚さ121、及び表面改質層厚さ131を含んでいる。1つの例において、物品100は、0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm、1.0mm、2.0mm、又は3.0mm以上を含みこれに限定されない、約0.4mm~約3.0mmの範囲内の物品厚さ101を有している。物品100は、本明細書に明示的に開示されていない厚さ(例えば、0.4mm未満、3.0mmより大きい厚さ、又はその他の任意の厚さ)を含む、任意の厚さであってよい物品厚さ101を有することができることを理解されたい。別の例において、担体110は、0.2mm、0.3mm、0.4mm、0.5mm、0.6mm、0.65mm、0.7mm、1.0mm、2.0mm、又は3.0mmを含みこれに限定されない、約0.2mm~約3mmの範囲内の担体厚さ111を有することができる。担体は、本明細書に明示的に開示されていない厚さ(例えば、0.2mm未満の厚さ、3mmを超える厚さ、又はその他の任意の厚さ)を含む、任意の厚さであってよい担体厚さ111を有することができることを理解されたい。更に別の例において、シート120は、10~50μm、50~100μm、100~150μm、150~300μm、又は300、250、200、190、180、170、160、150、140、130、120、110、90、80、70、60、50、40、30、20、又は10μm未満又は実質的に等しい厚さを含みこれに限定されない、約300μm以下のシート厚さ121を有することができる。シート120は、本明細書に明示的に開示されていない厚さ(例えば、300μmを超える厚さ又はその他の任意の厚さ)を含む、任意の厚さであってよいシート厚さ121を有することができることを理解されたい。更に別の例において、表面改質層130は、約0.1nm~約100nmの範囲内の表面改質層厚さ131を有することができる。表面改質層130は、本明細書に明示的に開示されていない厚さ(例えば、0.1nm未満の厚さ、100nmを超える厚さ、又はその他の任意の厚さ)を含む、任意の厚さであってよい表面改質層厚さ131を有することができることを理解されたい。
一部の例において、物品厚さ101を構成して、既存の処理装置を含む、処理装置で処理することができる物品厚さ101を有する物品100を提供することができる。例えば、担体結合表面115、シート結合表面125、及び表面改質層130を相互に結合した後、様々な処理を受けることができる。処理後、処理中にシート上に製造された装置があればそれを含めて、シート120及び担体110を分離して、例えば、担体110を再利用することができる。処理の種類には、真空処理、湿式処理、超音波洗浄処理、スピンリンス乾燥(SRD)処理、及び高温処理、例えば、FPD処理、p-Si及び酸化物半導体TFT製造、IGZOバックプレーン処理、LTPS、アモルファスシリコン(aSi)ボトムゲートTFT、多結晶シリコン(pSi)トップゲートTFT、アモルファス酸化物(IGZO)ボトムゲートTFT、タッチスクリーン製造、インターポーザ製造等が含まれる。
表面改質層130は、担体結合表面115とシート結合表面125との間の結合強度(付着エネルギー又は結合エネルギー)を制御するように構成されている。特に、表面改質層130は、物品100の処理中、シート120と担体110とが相互に結合された状態を維持するのに十分である一方、処理後、シート120及び担体110が、損傷(例えば 壊滅的な損傷、例えば、担体110又はシート120のいずれか一方が、2つ以上の破片に破壊)せずに、分離することができるように、即ち、シート120が担体110に一時的に結合されるように、担体結合表面115及びシート結合表面125に結合される。表面改質層130は、例えば、プラズマ蒸着によって形成されたプラズマ重合材料を含むことができる。以下に更に詳細に説明するように、プラズマ重合材料は、担体結合表面115及びシート結合表面125の少なくとも一方を平坦化することができる。
一般に、2つの表面間の付着エネルギー(W)は、Dupre(1869)によって最初に定義された以下の式によって与えられる。
Figure 0007106276000001
(「A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension」L.A .Girifalco and R. J. Good、J. Phys. Chem. V61、p904)、ここで、(Y1)、(Y2)、(Y12)、γ1、γ2、γ12は、それぞれ、表面1、表面2、及び表面1と表面2との界面エネルギーである。個々の表面エネルギー(Y)は、通常、分散成分(Y)と極性成分(Y)の2つの項の組み合わせであり、以下のようになる。
Figure 0007106276000002
付着が、主として、ロンドン分散力(Y)及び極性力(Y)、例えば、水素結合によるものである場合、界面エネルギー(Y12)は以下の式によって与えることができる。
Figure 0007106276000003
(前述のGirifalco及びR. J. Good)
(3)を(1)に代入して、付着エネルギー(W)を次のように近似することができる。
Figure 0007106276000004
前述の式(4)において、付着エネルギーのファンデルワールス(及び/又は水素結合)成分のみを考慮する。これには、極性-極性相互作用(Keesom)、極性-非極性相互作用(Debye)、及び非極性-非極性相互作用(London)が含まれる。しかし、他の誘引エネルギー、例えば、共有結合及び静電結合も存在し得る。従って、より一般化された形式で、上記式(4)は、以下のように記述することができる。
Figure 0007106276000005
ここで、(W)及び(We)は共有付着エネルギー及び静電付着エネルギーである。
結合エネルギーは、シートを担体から分離するのに必要なエネルギーである。結合エネルギーは、様々な異なる方法で測定することができる。例えば、本明細書で使用されるように、結合エネルギーは以下のように測定することができる。
結合エネルギーは、ダブルカンチレバービーム法(ウェッジ法としても知られる)を用いて、測定することができる。本方法において、既知の厚さ(t)の楔が、結合されたシートと担体との間の端部に配置される。楔によって、特有の剥離距離(L)がもたらされる。この剥離距離を測定して、結合エネルギー(YBE)の算出に用いる。
Figure 0007106276000006
ここで、(E)は担体の弾性率、(t)は担体の厚さ、(E)はシートの弾性率、及び(t)はシートの厚さである。
共有結合エネルギーは、例えば、シリコンウェーハの結合のように、最初に水素結合されたウェーハ対がより高い温度に加熱され、シラノール-シラノール水素結合の大部分又はすべてが、Si-O-Si共有結合に変換される状況を含んでいる。室温における、最初の水素(又はファンデルワールス)結合によって、特定の処理中、ウェーハを相互に保持するのに十分である一方、依然として結合表面の分離を可能にする、約100~200mJ/mの付着エネルギーが生成される。しかし、高温処理(例えば、約400~約800℃の範囲内の温度を含む処理)において、完全に共有結合されたウェーハ対が形成され、結合表面を分離することができない。高温処理において生成された結合は、約1000~3000mJ/mの付着エネルギーを有し、2つのウェーハは、モノリスとして作用する(例えば、シート結合表面125が担体結合表面115に永久結合される)。
別の例において、結合される両方の表面が、下部基板の影響を遮断するのに十分な厚さを有する、低表面エネルギー材料(例えば、炭化水素ポリマー又はフルオロポリマー)で完全に被覆されている場合には、付着エネルギーは、低表面エネルギーの被覆材料の付着エネルギーとなる。この結合は非常に低く、結合表面間の付着が低いか又は全くないため、例えば、シート120が担体110から分離せずに、結合された物品を処理することはできない。
次の2つの場合を考える。(a)シラノール基で飽和した、2つのスタンダードクリーン1(例えば、当技術分野で知られているSC1)の清浄なガラス表面を、室温において、水素結合によって相互に結合し(これによる付着エネルギーは、約100~200mJ/m)、続いてシラノール基を共有Si-O-Si結合に変換する高温度に加熱(これによる付着エネルギーは、約1000~3000mJ/m)、及び(b)室温において、低表面付着エネルギー(例えば、1表面当り約12mJ/m)を有する被覆材料で完全に被覆した2つのガラス表面を結合し、高温度に加熱。(a)の場合、高温度に加熱後の付着エネルギーが強過ぎて、1対のガラス表面を分離することはできない。(b)の場合、表面は(表面同士を合わせたときの約24mJ/mの総付着エネルギーが低く過ぎるため)室温で結合しないだけでなく、高温度においても、極性反応基がない(又は少な過ぎる)ため結合しない。従って、この2つの極端な場合の間に、所望の制御された又は一時的な結合度を生じさせることができる、例えば、約50~1000mJ/mの付着エネルギー範囲が存在する。
本願は、例えば、処理中、担体結合表面115及びシート結合表面125を一時的に結合する一方、処理後、担体110及びシート120の分離を可能にするように構成された、表面改質層130を有する物品100を提供するための方法及び装置の開示である。式(5)は、付着エネルギーが4つの表面エネルギーパラメータと、もしあれば、共有及び静電エネルギーを加えたものの関数であることを示している。従って、表面改質剤(例えば、表面改質層130)を制御することによって、適切な付着エネルギーを実現することができる。1つの例において、担体110及びシート120の少なくとも一方がガラスを含んでいる。
更に、本願は予想外の結果を開示する。特に、担体結合表面115及びシート結合表面125の少なくとも一方に、被覆又は層(例えば、表面改質層130)を蒸着しても、担体結合表面115及びシート結合表面125の少なくとも一方の表面粗さ(例えば、表面粗さRq)に影響を全く与えない(例えば、同じに留まる)か、又は目立たたせる(例えば、増加させる)と予想していた。しかし、本願は、担体結合表面115及びシート結合表面125の少なくとも一方に配置される表面改質層130が、プラズマ重合材料を含み、プラズマ重合材料が、全く意外にも、担体結合表面115及びシート結合表面125の少なくとも一方を平坦化する(例えば、表面粗さを低減する)ことを開示する。
プラズマ重合材料に関連するこの驚くべきかつ予想外の平坦化に基づいて、物品100が処理に耐えることができる一方、処理後、担体110及びシート120が互いに分離できる、即ち、担体110がシート120に一時的に結合されるように、担体結合表面115、シート結合表面125、及び表面改質層130が互いに結合される。表面改質層130に関し、担体結合表面115及びシート結合表面125の少なくとも一方を「平坦化する」とは、表面改質層130が、担体結合表面115及びシート結合表面125の少なくとも一方に配置されると理解されたい。即ち、表面改質層は、担体結合表面115及びシート結合表面125の少なくとも一方に層又は被覆を形成し、表面に配置された後、層又は被覆が、単独又は担体結合表面115及びシート結合表面125の少なくとも一方の下部の表面粗さ(例えば、表面粗さRq)と組み合わせて、担体結合表面115及びシート結合表面125の少なくとも一方の下部の表面粗さ(例えば、表面粗さRq)よりも小さい表面粗さ(例えば、表面粗さRq)を有する。
例えば、平坦化された担体結合表面及び平坦化されたシート結合表面の少なくとも一方が、0.8nm未満の表面粗さRqを有することができる。別の例において、平坦化してない担体結合表面115及びシート結合表面125の少なくとも一方は、約0.8nm~約5.0nmの範囲内の表面粗さRqを有し得る。更に別の例において、平坦化してない担体結合表面115及びシート結合表面125の少なくとも一方は、約2.0より大きい表面粗さRqを有し得る。更に別の例において、表面改質層130は弾性率及び塑性を有している。弾性率及び塑性の少なくとも一方によって、担体結合表面115及びシート結合表面125の少なくとも一方の少なくとも一部が、表面改質層130に侵入できるようにすることができる。例えば、弾性率は、約0.6GPa~約20GPaの範囲とすることができる。表面改質層130は、担体結合表面115及びシート結合表面125の少なくとも一方の少なくとも一部が、本明細書に明示的に開示されていない弾性率又は塑性(例えば、0.6GPaより小さい弾性率、20GPaより大きい弾性率、又は他の任意の弾性率若しくは塑性)を有する表面改質層130に侵入できる、弾性率及び塑性の少なくとも一方を有することができると理解されたい。
物品を製造する例示的な方法は、担体110の担体結合表面115及びシート120のシート結合表面125の少なくとも一方に、表面改質層130を蒸着するステップを備えている。表面改質層130は、プラズマ重合材料を含んでいる。プラズマ重合材料は、担体結合表面115及びシート結合表面125の少なくとも一方を平坦化する。本方法は、担体結合表面115及びシート結合表面125の少なくとも一方を、表面改質層130に結合するステップを更に備えている。1つの例において、本方法は、表面改質層130の弾性率及び塑性の少なくとも一方を選択して、担体結合表面115及びシート結合表面の125の少なくとも一方の少なくとも一部が、表面改質層130に侵入できるようにするステップを更に備えている。
図3~14は、担体110がシート120に一時的に結合されるように、担体結合表面115及びシート結合表面125を表面改質層130の結合することができる、様々な実施の形態を示す図である。説明のために、担体結合表面115、シート結合表面125、及び表面改質層130の対応する表面粗さ(例えば、表面粗さRq)は、相対表面粗さで示してある。更に、表面改質層130は、担体結合表面115及びシート結合表面125の少なくとも一方の全体にわたり(例えば、100%の被覆率)配置することができる。別の例において、表面改質層130は、担体結合表面115及びシート結合表面125の少なくとも一方の全体未満にわたり(例えば、100%未満の被覆率)配置することができる。
更に、表面粗さは、単に説明のために、ギザギザ又は三角形のパターンで示してあるが、本開示の範囲を限定すると解釈されるものではないことを理解されたい。担体結合表面115、シート結合表面125、及び表面改質層130のいずれか1つ又は複数の表面上の凹凸の任意の形状、サイズ、又は分布が、本明細書において熟慮されている。更に、担体結合表面115及びシート結合表面125の少なくとも一方は、任意の量、表面改質層130に侵入できる。担体結合表面115及びシート結合表面125の少なくとも一方が、表面改質層130に多く侵入すればする程、担体結合表面115及びシート結合表面125の少なくとも一方が、表面改質層130に接触する対応する表面積が大きくなり、担体結合表面115及びシート結合表面125の少なくとも一方と表面改質層130との間の結合力(例えば、付着力)が増大する。侵入量は、例えば、表面改質層130の弾性率及び塑性の少なくとも一方を調整、(例えば、積層により、又は加圧器内において)担体110及びシート120の少なくとも一方に異なる量の圧力を加える、及び担体結合表面115及びシート結合表面125の少なくとも一方が、表面改質層130により多くの量又はより少ない量侵入するように、表面改質層130の厚さを変えることによって、制御することができる。
図3に示すように、担体結合表面115及びシート結合表面125は、比較的滑らかに示す(例えば、0.8nm未満)同一又は同様の表面粗さ(例えば、表面粗さRq)を有することができ、担体110がシート120に一時的に結合されるように、担体結合表面115及びシート結合表面125を表面改質層130に結合することができる。図4に示すように、担体結合表面115は、比較的滑らかに示す(例えば、0.8nm未満)表面粗さ(例えば、表面粗さRq)を有し、シート結合表面125は、中程度に粗く示す(例えば、約0.8nm~約5nmの範囲)表面粗さ(表面粗さRq)を有することができる。図示のように、表面改質層130によって、中程度に粗いシート結合表面125を平坦化することによって、担体110がシート120に一時的に結合されるように、担体結合表面115及びシート結合表面125を表面改質層130に結合することができる。図5に示すように、担体結合表面115は、中程度に粗く示す(例えば、約0.8nm~約5nmの範囲)表面粗さ(表面粗さRq)を有し、シート結合表面125は、比較的滑らかに示す(例えば、0.8nm未満)表面粗さ(例えば、表面粗さRq)を有することができる。図示のように、表面改質層130によって、中程度に粗い担体結合表面115を平坦化することによって、担体110がシート120に一時的に結合されるように、担体結合表面115及びシート結合表面125を表面改質層130に結合することができる。図6に示すように、担体結合表面115及びシート結合表面125は、中程度に粗く示す(例えば、約0.8nm~約5nmの範囲)同一又は同様の表面粗さ(表面粗さRq)を有することができる。図示のように、表面改質層130によって、中程度に粗い担体結合表面115及びシート結合表面125を平坦化することによって、担体110がシート120に一時的に結合されるように、担体結合表面115及びシート結合表面125を表面改質層130に結合することができる。別の例において、担体結合表面115及びシート結合表面125の少なくとも一方が、本明細書に明示的に開示されていない表面粗さRqを含む、任意の表面粗さ(例えば、5.0nmを超える表面粗さRq)を有することができ、表面改質層130によって担体結合表面115及びシート結合表面125を平坦化することによって、担体110がシート120に一時的に結合されるように、担体結合表面115及びシート結合表面125を表面改質層130に結合することができる。
図3~6に示すように、担体結合表面115及びシート結合表面125は、間に表面改質層を挟んで、互いに離間する(例えば、接触しないようにする)ことができる。かかる構成において、高温処理後においても、担体結合表面115とシート結合表面125との間に、共有結合は殆んど又は全く観察されない。むしろ、かかる構成において、担体結合表面115、シート結合表面125、及び表面改質層130を互いに結合している主な力は、担体結合表面115と表面改質層130との間のファンデルワールス力、及びシート結合表面125と表面改質層130との間のファンデルワールス力である。シート結合表面125と表面改質層130との間の共有結合、及び担体結合表面115と表面改質層130との間の共有結合も、かかる共有結合が、処理後、担体110とシート120とを互いに分離する能力に殆んど又は全く影響を及ぼさない限りにおいて生じ得る。例えば、担体110及びシート120は、(例えば、表面改質層130が、担体結合表面115及びシート結合表面125の少なくとも一方から分離する)接着破壊、及び(例えば、表面改質層130が、それ自体から分離する)凝集破壊の少なくとも一方よって、互いに分離することができる。
図7~9に示すように、担体結合表面115及びシート結合表面125は、一部の位置において、表面改質層130を間に挟んで離間する(例えば、接触しないようにする)ことができ、別の位置において、表面改質層130を間に挟まずに、互いに接触することができる。かかる構成において、高温処理後においても、表面改質層130を間に挟んで、互いに離間した担体結合表面115及びシート結合表面125の領域において、担体結合表面115とシート結合表面125との間に、共有結合は殆んど又は全く観察されない。表面改質層130を間に挟まずに互いに接触している担体結合表面115及びシート結合表面125の領域において、担体結合表面115とシート結合表面125との間の共有結合が観察し得る。かかる構成において、担体結合表面115、シート結合表面125、及び表面改質層130を互いに結合している主な力は、依然として、担体結合表面115と表面改質層130との間のファンデルワールス力、及びシート結合表面125と表面改質層130との間のファンデルワールス力である。図3~6に示す前述の例示的な実施の形態と同様に、シート結合表面125と表面改質層130との間の共有結合、及び担体結合表面115と表面改質層130との間の共有結合が、処理後、担体110とシート120とを互いに分離する能力に殆んど又は全く影響を及ぼさない限りにおいて生じ得る。例えば、担体110及びシート120は、(例えば、表面改質層130が、担体結合表面115及びシート結合表面125の少なくとも一方から分離する)接着破壊、及び(例えば、表面改質層130が、それ自体から分離する)凝集破壊の少なくとも一方よって、互いに分離することができる。更に、担体110及びシート120を結合している主な力が、表面改質層130と担体結合表面115及びシート結合表面の少なくとも一方との間のファンデルワールス力であるため、担体110及びシート120のいずれか一方又は両方を損傷(2つ以上の破片に破壊)せずに、担体結合表面115とシート結合表面125との間(例えば、担体結合表面115とシート結合表面125とが接触している領域)に形成された共有結合を(例えば、処理後)破ることができ、担体110及びシート120の分離が可能になる。
図7に示すように、担体結合表面115は、比較的滑らかに示す(例えば、0.8nm未満)表面粗さ(例えば、表面粗さRq)を有することができ、シート結合表面125は、中程度に粗く示す(例えば、約0.8nm~約5nmの範囲)表面粗さ(表面粗さRq)を有することができ、中程度に粗いシート結合表面125は、一部の位置において、間に表面改質層130を挟まずに、比較的滑らかな担体結合表面接触し、中程度に粗いシート結合表面125は、別の位置において、間に表面改質層130を挟んで、比較的滑らかな担体結合表面115から離間している。図示のように、表面改質層130によって、中程度に粗いシート結合表面125を平坦化することによって、担体結合表面115、シート結合表面125、及び表面改質層130を互いに結合して、担体110とシート120との間に一時的な結合を形成することができる。
図8に示すように、担体結合表面115は、中程度に粗く示す(例えば、約0.8nm~約5nmの範囲)表面粗さ(表面粗さRq)有することができ、シート結合表面125は、比較的滑らかに示す(例えば、0.8nm未満)表面粗さ(例えば、表面粗さRq)を有することができ、中程度に粗い担体結合表面115は、一部の位置において、間に表面改質層130を挟まずに、比較的滑らかなシート結合表面125と接触し、中程度に粗い担体結合表面115は、別の位置において、間に表面改質層130を挟んで比較的滑らかなシート結合表面125から離間している。図示のように、表面改質層130によって、中程度に粗い担体結合表面115を平坦化することによって、担体結合表面115、シート結合表面125、及び表面改質層130を互いに結合して、担体110とシート120との間に一時的な結合を形成することができる。
図9に示すように、担体結合表面115及びシート結合表面125は、中程度に粗く示す(例えば、約0.8nm~約5nmの範囲)同一又は同様の表面粗さ(例えば、表面粗さRq)を有することができ、中程度に粗いシート結合表面125は、一部の位置において、間に表面改質層130を挟まずに、中程度に粗い担体結合表面115と接触し、中程度に粗いシート結合表面125は、別の位置において、間に表面改質層130を挟んで、中程度に粗い担体結合表面115から離間している。図示のように、表面改質層130によって、中程度に粗い担体結合表面115及び中程度に粗いシート結合表面125を平坦化することによって、担体結合表面115、シート結合表面125、及び表面改質層130を互いに結合して、担体110とシート120との間に一時的な結合を形成することができる。
図10~14は、担体結合表面115を有する担体110と、シート結合表面125を有するシート120と、担体結合表面115に配置された担体表面改質層130a及びシート結合表面125に配置されたシート表面改質層130bの少なくとも一方とを示す図である。担体表面改質層130a及びシート表面改質層130bの少なくとも一方は、プラズマ重合材料を含んでいる。プラズマ重合材料は、担体結合表面115及びシート結合表面125の少なくとも一方を平坦化する。担体結合表面115、シート結合表面125、担体表面改質層130a及びシート表面改質層130bの少なくとも一方が互いに結合され、担体110とシート120との間に一時的な結合が形成される。
図10~14に示す例示的な実施の形態に関し、高温処理の後においても、担体結合表面115とシート結合表面125との間に、共有結合は殆んど又は全く観察されない。むしろ、かかる構成において、担体結合表面115と、シート結合表面125と、担体表面改質層130a及びシート表面改質層130bの少なくとも一方を互いに結合している主な力は、(i)担体結合表面115と、担体表面改質層130a及びシート表面改質層130bの少なくとも一方との間のファンデルワールス力、(ii)シート結合表面125と、シート表面改質層130b及び担体表面改質層130aの少なくとも一方との間のファンデルワールス力、及び(iii)表面改質層130a及び130bが共に存在する限りにおいて、担体表面改質層130aと、シート表面改質層130bとの間のファンデルワールス力である。加えて、担体結合表面115及びシート結合表面125が、間に担体表面改質層130a及びシート表面改質層130bの少なくとも一方を挟んで、互いに離間している(接触していない)領域においては、高温処理後においても、担体結合表面115とシート結合表面125との間に共有結合が殆んど又は全く観察されない。担体結合表面115とシート結合表面125とが、担体表面改質層130a及びシート表面改質層130bの少なくとも一方を間に挟まずに、互いに接触している領域において、担体結合表面115とシート結合表面125との間に共有結合が観察し得る。かかる構成において、担体結合表面115と、シート結合表面125と、担体表面改質層130a及びシート表面改質層130bの少なくとも一方とを互いに結合している主な力は、依然として(i)担体結合表面115と、担体表面改質層130a及びシート表面改質層130bの少なくとも一方との間のファンデルワールス力、(ii)シート結合表面125と、シート表面改質層130b及び担体表面改質層130aの少なくとも一方との間のファンデルワールス力、及び(iii)表面改質層130a及び130bが共に存在する限りにおいて、担体表面改質層130aとシート表面改質層130bとの間のファンデルワールス力である。図3~9に示す前述の例示的な実施の形態と同様に、図10~14に示す例示的な実施の形態においても、処理後、担体結合表面115と、担体表面改質層130a及びシート表面改質層130bの少なくとも一方との間の共有結合、シート結合表面125と、シート表面改質層130b及び担体表面改質層130aの少なくとも一方との間の共有結合、及び担体表面改質層130a及びシート表面改質層130bの両方が存在する場合、その間の共有結合が、担体110とシート120とを互いに分離する能力に殆んど又は全く影響を及ぼさない限りにおいて生じ得る。例えば、担体110及びシート120は、(例えば、担体表面改質層130a及びシート表面改質層130bの少なくとも一方が、担体結合表面115及びシート結合表面125の少なくとも一方から分離する)接着破壊、及び(例えば、担体表面改質層130a及びシート表面改質層130bの少なくとも一方が、それ自体及び互いからのうち少なくとも一方によって分離する)凝集破壊の少なくとも一方によって、互いに分離することができる。更に、担体結合表面115と、シート結合表面125とを互いに結合している主な力は、(i)担体結合表面115と、担体表面改質層130a及びシート表面改質層130bの少なくとも一方との間のファンデルワールス力、(ii)シート結合表面125と、シート表面改質層130b及び担体表面改質層130aの少なくとも一方との間のファンデルワールス力、及び(iii)表面改質層130a及び130bが共に存在する限りにおいて、担体表面改質層130aとシート表面改質層130bとの間のファンデルワールス力であるため、担体110及びシート120のいずれか一方又は両方を損傷(2つ以上の破片に破壊)せずに、担体結合表面115とシート結合表面125との間(例えば、担体結合表面115とシート結合表面125とが接触している領域)に形成された共有結合を(例えば、処理後)破ることができ、担体110及びシート120の分離が可能になる。
図10は、担体表面改質層130a及びシート表面改質層130bの少なくとも一方に関し、担体結合表面115に配置された担体表面改質層130a、及びシート結合表面125に配置されたシート表面改質層130bの両方を有する物品を示す図である。1つの例において、シート結合表面125の少なくとも一部が、担体表面改質層130aに侵入している、及び担体結合表面115の少なくとも一部が、シート表面改質層130bに侵入しているうちの少なくとも一方である。別の例において、シート結合表面125はシート表面改質層130bを越えて延びることはできず、担体結合表面115は、担体表面改質層130aを越えて延びることはできない。更に別の例において、シート結合表面125の少なくとも一部は、担体表面改質層130aに侵入できるのに対し、担体結合表面115は、担体表面改質層130aを越えて延びることはできない。更に別の例において、担体結合表面115の少なくとも一部は、シート表面改質層130bに侵入できるのに対し、シート結合表面125は、シート表面改質層130bを越えて延びることはできない。
図11は、担体表面改質層130a及びシート表面改質層130bの少なくとも一方に関し、シート結合表面125に配置されたシート表面改質層130bのみを有する物品を示す図である。図13に示すように、担体結合表面115の少なくとも一部が、シート表面改質層130bに侵入している。図示のように、シート表面改質層130bによって、シート結合表面125を平坦化することによって、担体結合表面115、シート結合表面125、及び(担体結合表面115の少なくとも一部が侵入している)シート表面改質層130bが互いに結合され、担体110とシート120との間に一時的な結合を形成することができる。
図12は、担体表面改質層130a及びシート表面改質層130bの少なくとも一方に関し、担体結合表面115に配置された担体表面改質層130aのみを有する物品を示す図である。図14に示すように、シート結合表面125の少なくとも一部が、担体表面改質層130aに侵入している。図示のように、シート表面改質層130aによって、担体結合表面115を平坦化することによって、担体結合表面115、シート結合表面125、及び(シート結合表面125の少なくとも一部が侵入している)担体表面改質層130aが互いに結合され、担体110とシート120との間に一時的な結合が形成される。
図13及び図14に示すように、(i)担体結合表面115と、担体表面改質層130a及びシート表面改質層130bの少なくとも一方との間、及び/又は(ii)シート結合表面125と、シート表面改質層130b及び担体表面改質層130aの少なくとも一方との間に、間隙(例えば、空隙又は当技術分野で知られている「ブリスター」)を形成することができる。別の例において(例えば、図10)、(i)担体結合表面115と、担体表面改質層130a及びシート表面改質層130bの少なくとも一方との間、及び/又は(ii)シート結合表面125と、シート表面改質層130b及び担体表面改質層130aの少なくとも一方との間に、ブリスターが形成されないように、(i)担体表面改質層130a及びシート表面改質層130bの少なくとも一方に対する、担体結合表面115の侵入量、及び/又は(ii)シート表面改質層130b及び担体表面改質層130aの少なくとも一方に対する、シート結合表面125の侵入量を制御することができる。例えば、担体表面改質層130a及びシート表面改質層130bの少なくとも一方の弾性率及び塑性の少なくとも一方を調整する、(例えば、積層により、又は加圧器内において)担体110及びシート120の少なくとも一方に異なる量の圧力を加える、並びに担体表面改質層130a及びシート表面改質層130bの少なくとも一方の厚さを変えることの少なくとも一方によって、担体結合表面115及びシート結合表面125の少なくとも一方が、より多くの量又はより少ない量、担体表面改質層130a及びシート表面改質層130bの少なくとも一方に侵入できる。別の例において、(i)担体表面改質層130a及びシート表面改質層130bの少なくとも一方に対する、担体結合表面115の侵入、並びに(ii)シート表面改質層130b及び担体表面改質層130aの少なくとも一方に対する、シート結合表面125の侵入の少なくとも一方によって、いずれか一方又は両方の相対表面粗さに関係なく、例えば、間に表面改質層(例えば、柔軟表面層)を有さない表面間の(例えば、ファンデルワールス結合及び共有結合の少なくとも一方に基づく)付着エネルギーより小さい、等しい、又は大きい(例えば、ファンデルワールス結合に基づく)付着エネルギーを提供することができる。
図3~14に示す実施の形態の任意の1つ以上を組み合わせて、担体110及びシート120を一時的に結合することができることを理解されたい。更に、1つの例において、平坦化された担体結合表面、及び平坦化されたシート結合表面の少なくとも一方が、約0.8nm未満の表面粗さRqを有することができる。別の例において、担体結合表面115及びシート結合表面125の少なくとも一方が、平坦化する前に、約0.8nm~約5.0nmの範囲内の表面粗さRqを有することができる。前述のように、担体結合表面115、シート結合表面125、並びに担体表面改質層130a及びシート表面改質層130bの少なくとも一方について、別の表面粗さが本明細書において熟慮されている。
前述のように、表面改質層130は、プラズマ重合材料を含むことができる。1つの例において、プラズマ重合材料は、前駆体が活性化され、プラズマによって蒸着されたポリマー材料が形成される化学気相蒸着法によって形成された、プラズマ重合膜を含むことができる。別の例において、プラズマ重合材料(例えば、プラズマ重合膜)は、脂肪族若しくは芳香族炭化水素、ポリエステル、又はポリイミドを含むことができる。更に別の例において、プラズマ重合体は、大気圧又は減圧下で蒸着することができる。更に、プラズマ重合材料は、原料ガスからのプラズマ励起(例えば、DC若しくはRF平行プレート、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波、又はRFプラズマ)を含む、プラズマ蒸着によって形成することができる。一部の例において、原料ガスは、フッ化炭素源(例えば、CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、クロロフルオロ炭素、若しくはヒドロクロロフルオロ炭素)、炭化水素(例えば、メタン、エタン、プロパン、若しくはブタンを含むアルカン)、アルケン(例えば、エチレン若しくはプロピレンを含む)、又は芳香族化合物(例えば、ベンゼン若しくはトルエンを含む)。別の例において、原料ガスは、SF6を含む水素又は他のガス源を含むことができる。加えて、プラズマ重合は、高度に架橋された材料の層を生成する。一部の例において、反応条件及び原料ガスの制御を通して、膜厚、密度、及び化学特性を制御して、例えば、官能基を所望の用途に合わせることができる。明細書に記載の具体的なプラズ重合フィルムは、非限定的な代表例であって、当業者は、本明細書に明示的に開示されていないプラズマ重合体を含む、異なるプラズマジオメトリ及び原材料で同様の効果を達成することができることが認識されるであろう。特に、本願は、表面を平坦化するように構成されたあらゆるプラズマ重合材料は、本開示の範囲に包含されるものと考える。
更に以下の具体的な実施例は、前述の例示的な実施の形態によるものであり、非限定的な代表例として理解されたい。
第1の実施例において、Oxford PlasmaLab100エッチングツールにおいて、メタン及び水素から脂肪族プラズ重合膜を蒸着した。プロセス条件は、2標準立方センチメートル/分(sccm)のメタン、38sccmの水素、25ミリトル(mT)の圧力、275ワット(W)、13.56メガヘルツ(MHz)の無線周波数(RF)であった。プロセス時間を変えて、プラズマ重合体の厚さを11~60ナノメートル(nm)に調整した。厚さは非晶質炭素モデルを用いたn&kアナライザで測定した。25sccmの窒素、25sccmの酸素、O2、10mT、300WのRF、及び5秒のプロセス時間で、同じOxfordツールにおいて表面を活性化した。更に、433ミリリットル(ml)の脱イオン水、106mlの塩酸、及び11mlの49%フッ化水素酸水溶液中において、厚さ200μm(μm、ミクロン)のガラス基体を室温で1分間エッチングした。これによって、原子間力顕微鏡(AFM)で測定したとき、1.0nmの二乗平均平方根(RMS、Rq)の表面粗さが得られた。粗面化した薄いガラスを、プラズマ重合体の表面に結合させ、次いで基板を担体に取り付けることによって担体と相互に結合した。プラズマ重合体の表面エネルギーが高いため、表面結合が自己伝播した。テフロン(登録商標)スキージを使用して、結合表面の粒子及び湾曲によって形成され、閉じ込められた気泡を除去した。炭化ケイ素サセプタ上のMPT急速熱処理システム(RTP)内の流動窒素中において、結合したサンプルを300℃で10分間アニールした。ウェッジ試験によって、結合エネルギーを測定した。以下の表1に示すように、プラズ重合体の厚さの増加と共に、粗さ(Rq)が減少することが観察された。表面粗さが0.8nmRMS(Rq)未満に減少すると、重合体の厚さの増加につれて、結合エネルギーが増加し、薄いガラスと処理されたプラズマ重合体被覆担体との間のファンデルワールス相互作用の期待値に近い値に達した。
Figure 0007106276000007
第2の実施例において、Corning(登録商標)EAGLE XG(登録商標)ガラスの厚さ200μmのガラス基体から、レーザ損傷プロセスによってビアを形成し、薄いガラスのインターポーザのセットを形成し、前述のHF-HNO3溶液でエッチングした。ビアのエッチングによって、基体の厚さが180μmに減少し、直径50μmのビアが形成され、AFMによって、エッチング後の表面粗さが1nmRqとして示された。これ等のインターポーザのサンプルに対し、第1の実施例に関して説明したように、プラズマ重合体を異なる厚さで被覆し、Corning(登録商標)EAGLE XG(登録商標)ガラスの厚さ0.7mmのガラス担体に結合した。サンプルは、N2を含むRTPシステムにおいて、300℃で10分間アニールした。結合エネルギー(BE、ミリジュールパー平方メートル(mJ/m)単位)対プラズマ重合体の厚さ(単位nm)を以下の表2に示す。厚さ40nmを超えるプラズマ重合体について、200mJ/mを超える適切な結合エネルギーを実現することができる。
Figure 0007106276000008
第3の実施例において、Corning(登録商標)EAGLE XG(登録商標)ガラスの厚さ200μmのガラス基体から、レーザ損傷プロセスによってビアを形成し、薄いガラスのインターポーザのセットを形成し、前述のHF-HNO3溶液でエッチングした。ビアのエッチングによって、基体の厚さが180μmに減少し、直径30μmのビアが形成された。これ等のインターポーザのサンプルに対し、プラズマ重合体蒸着条件を10sccmのエチレン、50sccmの水素、5mTの圧力、50WのRFでバイアスしたコイルに1500WのRFを印加し、続いて、35sccmの窒素、5sccmの酸素、15mTの圧力、50WのRFでバイアスしたコイルに800WのRFを印加して5秒間処理したことを除き、第1の実施例に関して説明したように、Oxfordツールにおいて、プラズマ重合体を異なる厚さで被覆した。Corning(登録商標)EAGLE XG(登録商標)ガラスの厚さ0.7mmのガラス担体に、結合インターポーザを結合した。サンプルは、N2を含むRTPシステムにおいて、300℃で10分間アニールした。13.7mmの厚さの重合体に対し、312mJ/mの結合エネルギーが得られた。
特許請求した主題の精神及び範囲を逸脱せずに、本開示に対し様々な改良及び変形が可能であることは、同業者には明らかであろう。
以下、本発明の好ましい実施形態を項分け記載する。
実施形態1
物品であって、
担体結合表面を有する担体と、
シート結合表面を有するシートと、
前記担体結合表面及び前記シート結合表面の少なくとも一方に配置された表面改質層と、を備え、前記表面改質層が、プラズマ重合材料を含み、前記プラズマ重合材料が、前記担体結合表面及び前記シート結合表面の前記少なくとも一方を平坦化し、前記担体が前記シートに一時的に結合されるように、前記担体結合表面及び前記シート結合表面が、前記表面改質層に結合されて成る物品。
実施形態2
前記平坦化された担体結合表面及び前記平坦化されたシート結合表面の前記少なくとも一方が、0.8nm未満の表面粗さRqを有する、実施形態1記載の物品。
実施形態3
前記担体結合表面及び前記シート結合表面の前記少なくとも一方が、約0.8nm~約5.0nmの範囲内の表面粗さRqを有する、実施形態1又は2記載の物品。
実施形態4
前記担体結合表面及び前記シート結合表面の前記少なくとも一方が、約2.0nmを超える表面粗さRqを有する、実施形態1又は2記載の物品。
実施形態5
前記表面改質層が、前記担体結合表面及び前記シート結合表面の少なくとも一方の少なくとも一部が、前記表面改質層に侵入できるように構成された、弾性率及び塑性の少なくとも一方を有する、実施形態1~4のいずれかに記載の物品。
実施形態6
前記弾性率が、約0.6GPa~約20GPaの範囲である、実施形態5記載の物品。
実施形態7
前記担体及び前記シートの少なくとも一方が、ガラスを含んで成る、実施形態1~6のいずれかに記載の物品。
実施形態8
前記担体が、約200μm~3mmの厚さを有する、実施形態1~7のいずれかに記載の物品。
実施形態9
前記シートが約300μm以下の厚さを有する、実施形態1~8のいずれかに記載の物品。
実施形態10
前記表面改質層が、約0.1nm~約100nmの範囲内の厚さを有する、実施形態1~9のいずれかに記載の物品。
実施形態11
物品であって、
担体結合表面を有する担体と、
シート結合表面を有するシートと、
前記担体結合表面に配置された担体表面改質層及び前記シート結合表面に配置されたシート表面改質層の少なくとも一方と、
を備え、前記担体表面改質層及び前記シート表面改質層の前記少なくとも一方が、プラズマ重合材料を含み、前記プラズマ重合材料が、前記担体結合表面及び前記シート結合表面の前記少なくとも一方を平坦化し、前記担体が前記シートに一時的に結合されるように、前記担体結合表面及び前記シート結合表面が、前記担体表面改質層及び前記シート表面改質層の前記少なくとも一方に結合されて成る物品。
実施形態12
前記担体表面改質層及び前記シート表面改質層の前記少なくとも一方に関し、前記物品が前記担体表面改質層のみを有し、前記シート結合表面の少なくとも一部が、前記担体表面改質層に侵入して成る、実施形態11記載の物品。
実施形態13
前記担体表面改質層及び前記シート表面改質層の前記少なくとも一方に関し、前記物品が前記シート表面改質層のみを有し、前記担体結合表面の少なくとも一部が、前記シート表面改質層に侵入して成る、実施形態11記載の物品。
実施形態14
前記担体表面改質層及び前記シート表面改質層の前記少なくとも一方に関し、前記物品が、前記担体表面改質層及び前記シート表面改質層の両方を有し、(i)前記シート結合表面の少なくとも一部が、前記担体表面改質層に侵入して成る、及び(ii)前記担体結合表面の少なくとも一部が、前記シート表面改質層に侵入して成るかの少なくとも一方である、実施形態11記載の物品。
実施形態15
前記平坦化された担体結合表面及び前記平坦化されたシート結合表面の前記少なくとも一方が、約0.8nm未満の表面粗さRqを有する、実施形態11~14のいずれかに記載の物品。
実施形態16
前記担体結合表面及び前記シート結合表面の前記少なくとも一方が、約0.8nm~約5.0nmの範囲内の表面粗さRqを有する、実施形態11~15のいずれかに記載の物品。
実施形態17
物品を製造する方法であって、
担体の担体結合表面及びシートのシート結合表面の少なくとも一方に、表面改質層を蒸着するステップであって、前記表面改質層がプラズマ重合材料を含み、前記プラズマ重合材料を蒸着することによって、前記担体結合表面及び前記シート結合表面の前記少なくとも一方が平坦化される、ステップと、
前記担体が前記シートに一時的に結合されるように、前記担体結合表面及び前記シート結合表面を、前記表面改質層に結合するステップと、
を備えた方法。
実施形態18
前記平坦化された担体結合表面及び前記平坦化されたシート結合表面の前記少なくとも一方が、約0.8nm未満の表面粗さRqを有する、実施形態17記載の方法。
実施形態19
前記担体結合表面及び前記シート結合表面の前記少なくとも一方が、約0.8nm~約5.0nmの範囲内の表面粗さRqを有する、実施形態17又は18記載の方法。
実施形態20
前記表面改質層の弾性率及び塑性の少なくとも一方によって、前記担体結合表面及びシート結合表面の少なくとも一方の少なくとも一部が、前記表面改質層に侵入できるようにする、実施形態17~19のいずれかに記載の方法。
100 物品
101 物品厚さ
110 担体
111 担体厚さ
115 担体結合表面
120 シート
121 シート厚さ
125 シート結合表面
130 表面改質層
131 表面改質層厚さ

Claims (14)

  1. 担体結合表面を有する担体と、
    シート結合表面を有するシートと、
    前記担体結合表面及び前記シート結合表面の少なくとも一方が、0.8nm~5.0nmの範囲内の表面粗さRqを有し、
    前記担体結合表面及び前記シート結合表面の少なくとも一方に配置され、前記担体が前記シートに一時的に結合するように、前記担体結合表面及び前記シート結合表面を結合する表面改質層を備え、
    前記表面改質層は、前記担体結合表面及び前記シート結合表面の前記少なくとも一方を平坦化し、
    体結合表面およびシート結合表面の少なくとも一方の下部前記表面粗さRqが0.8nm未満を有するようにするプラズマ重合材料を含み、
    該0.8nm未満の前記表面粗さRqを有する前記表面改質層が、0.8nm未満の前記表面粗さRqを有する前記担体結合表面又は前記シート結合表面と結合する
    ことを特徴とする物品。
  2. 担体結合表面を有する担体と、
    シート結合表面を有するシートと、
    前記担体結合表面及び前記シート結合表面の少なくとも一方が、0.8nm~5.0nmの範囲内の表面粗さRqを有し、
    前記担体結合表面および前記シート結合表面は
    前記担体結合表面に配置された担体表面改質層及び前記シート結合表面に配置されたシート表面改質層の少なくとも一方であって、
    前記担体が前記シートに一時的に結合されるように、前記担体表面改質層及び前記シート表面改質層の前記少なくとも一方に結合する担体表面改質層及び前記シート結合表面に配置されたシート表面改質層の少なくとも一方を備え、
    前記担体表面改質層及び前記シート表面改質層の前記少なくとも一方は、前記担体結合表面及び前記シート結合表面の前記少なくとも一方を平坦化し、
    前記担体結合表面および前記シート結合表面の少なくとも一方の下部前記表面粗さRqが0.8nm未満を有するようにするプラズマ重合材料を含み、
    該0.8nm未満の前記表面粗さRqを有する前記担体表面改質層又は前記シート表面改質層が、0.8nm未満の前記表面粗さRqを有する前記担体結合表面又は前記シート結合表面と結合する
    ことを特徴とする物品。
  3. 前記表面改質層が、前記担体結合表面及び前記シート結合表面の少なくとも一方の少なくとも一部が、前記表面改質層に侵入できるように構成された、弾性率及び塑性の少なくとも一方を有することを特徴とする、請求項1又は2記載の物品。
  4. 前記弾性率が、約0.6GPa~約20GPaの範囲であることを特徴とする、請求項3記載の物品。
  5. 前記担体及び前記シートの少なくとも一方が、ガラスを含んで成ることを特徴とする、請求項1~4いずれか1項記載の物品。
  6. 前記担体が、約200μm~3mmの厚さを有することを特徴とする、請求項1~5いずれか1項記載の物品。
  7. 前記シートが約300μm以下の厚さを有することを特徴とする、請求項1~6いずれか1項記載の物品。
  8. 前記表面改質層が、約0.1nm~約100nmの範囲内の厚さを有することを特徴とする、請求項1~7いずれか1項記載の物品。
  9. 前記平坦化された担体結合表面及び前記平坦化されたシート結合表面の前記少なくとも一方が、約0.8nm未満の表面粗さRqを有することを特徴とする、請求項1~8いずれか1項記載の物品。
  10. 前記担体結合表面及び前記シート結合表面の前記少なくとも一方が、約0.8nm~約5.0nmの範囲内の表面粗さRqを有することを特徴とする、請求項1~9いずれか1項記載の物品。
  11. 物品を製造する方法であって、
    担体の担体結合表面及びシートのシート結合表面の少なくとも一方に、表面改質層を蒸着するステップであって、前記表面改質層がプラズマ重合材料を含み、前記プラズマ重合材料を蒸着することによって、前記担体結合表面及び前記シート結合表面の前記少なくとも一方が平坦化され、下部の担体結合表面およびシート結合表面の少なくとも一方の表面粗さよりも小さい表面粗さを有するようにする、ステップと、
    前記担体が前記シートに一時的に結合されるように、前記担体結合表面及び前記シート結合表面を、前記表面改質層に結合するステップと、
    を備えたことを特徴とする方法。
  12. 前記平坦化された担体結合表面及び前記平坦化されたシート結合表面の前記少なくとも一方が、約0.8nm未満の表面粗さRqを有することを特徴とする、請求項11記載の方法。
  13. 前記担体結合表面及び前記シート結合表面の前記少なくとも一方が、約0.8nm~約5.0nmの範囲内の表面粗さRqを有することを特徴とする、請求項11又は12記載の方法。
  14. 前記表面改質層の弾性率及び塑性の少なくとも一方によって、前記担体結合表面及びシート結合表面の少なくとも一方の少なくとも一部が、前記表面改質層に侵入できることを特徴とする、請求項11~13いずれか1項記載の方法。
JP2017566697A 2015-06-26 2016-06-22 シート及び担体を有する物品及び方法 Active JP7106276B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562185095P 2015-06-26 2015-06-26
US62/185,095 2015-06-26
PCT/US2016/038663 WO2016209897A1 (en) 2015-06-26 2016-06-22 Methods and articles including a sheet and a carrier

Publications (2)

Publication Number Publication Date
JP2018526244A JP2018526244A (ja) 2018-09-13
JP7106276B2 true JP7106276B2 (ja) 2022-07-26

Family

ID=56360509

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017566697A Active JP7106276B2 (ja) 2015-06-26 2016-06-22 シート及び担体を有する物品及び方法

Country Status (7)

Country Link
US (1) US11905201B2 (ja)
EP (1) EP3313799B1 (ja)
JP (1) JP7106276B2 (ja)
KR (1) KR102524620B1 (ja)
CN (2) CN117534339A (ja)
TW (1) TWI723025B (ja)
WO (1) WO2016209897A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6770432B2 (ja) 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
JP6873986B2 (ja) 2015-10-30 2021-05-19 コーニング インコーポレイテッド 第2基板に接合された第1基板を加工する方法
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
CN111372903A (zh) 2017-11-20 2020-07-03 康宁股份有限公司 使用阳离子表面活性剂和/或有机盐的玻璃对的临时结合
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
KR102603870B1 (ko) * 2018-02-01 2023-11-21 삼성디스플레이 주식회사 봉지 구조, 상기 봉지 구조를 갖는 유기발광표시장치 및 이의 제조방법
CN114920468B (zh) * 2022-06-01 2023-12-05 北方夜视技术股份有限公司 一种硼硅玻璃亲水性键合方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014093775A1 (en) 2012-12-13 2014-06-19 Corning Incorporated Glass and methods of making glass articles
WO2014093776A1 (en) 2012-12-13 2014-06-19 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
WO2015054098A1 (en) 2013-10-07 2015-04-16 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers

Family Cites Families (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (ja) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
US5143747A (en) 1991-02-12 1992-09-01 Hughes Aircraft Company Die improved tooling for metal working
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
CA2110499C (en) 1991-06-14 1998-06-23 Stanislaw L. Zukowski Surface modified porous expanded polytetrafluoroethylene and process for making
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
EP0764704B1 (en) 1995-09-25 2000-03-08 Dow Corning Corporation Use of preceramic polymers as electronic adhesives
CN1074006C (zh) 1995-10-13 2001-10-31 陶氏化学公司 涂覆的塑料基材
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
US6180496B1 (en) 1997-08-29 2001-01-30 Silicon Genesis Corporation In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US20010045351A1 (en) 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
NL1009703C2 (nl) * 1998-07-21 2000-01-24 Instituut Voor Dierhouderij En Werkwijze voor het vervaardigen van een preparaathouder voor chemische of biochemische tests.
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
EP1054454A3 (en) 1999-05-18 2004-04-21 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
US6674140B2 (en) 2000-02-01 2004-01-06 Analog Devices, Inc. Process for wafer level treatment to reduce stiction and passivate micromachined surfaces and compounds used therefor
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
ATE431970T1 (de) 2001-06-20 2009-06-15 Showa Denko Kk Licht emittierendes material und organische leuchtdiode
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
EP1275624B1 (en) 2001-06-29 2007-08-15 Crystal Systems Inc. Antifogging product, inorganic hydrophilic hard layer forming material and process for producing antifogging lens
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
EP1363319B1 (en) 2002-05-17 2009-01-07 Semiconductor Energy Laboratory Co., Ltd. Method of transferring an object and method of manufacturing a semiconductor device
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
AU2003254851A1 (en) 2002-08-07 2004-02-25 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminate having adherent layer and laminate having protective film
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
US7129311B2 (en) 2002-09-18 2006-10-31 Arch Specialty Chemicals, Inc. Additives to prevent degradation of alkyl-hydrogen siloxanes
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
CN1742358A (zh) 2002-11-20 2006-03-01 瑞威欧公司 在基板上制造多层器件的方法和系统
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
JP4936667B2 (ja) 2002-11-29 2012-05-23 フラウンホファー ゲゼルシャフト ツール フェルドルンク デル アンゲヴァントテン フォルシュンク エー ファウ ウェーハ処理プロセス及び装置並びに中間層及びキャリヤー層を有するウェーハ
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
EP1581347B1 (de) 2002-12-17 2009-02-25 Wipf AG Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
KR101078483B1 (ko) 2004-03-12 2011-10-31 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 Lcd 또는 유기 el 디스플레이의 스위칭 소자
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
US7541264B2 (en) 2005-03-01 2009-06-02 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
CN101175633B (zh) 2005-04-19 2011-12-21 宇部兴产株式会社 聚酰亚胺薄膜层合体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
WO2007018028A1 (ja) 2005-08-09 2007-02-15 Asahi Glass Company, Limited 薄板ガラス積層体及び薄板ガラス積層体を用いた表示装置の製造方法
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
WO2007055142A1 (en) 2005-11-11 2007-05-18 Semiconductor Energy Laboratory Co., Ltd. Layer having functionality, method for forming flexible substrate having the same, and method for manufacturing semiconductor device
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
EP2259213B1 (en) 2006-02-08 2015-12-23 Semiconductor Energy Laboratory Co., Ltd. RFID device
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
KR20090006824A (ko) 2006-05-08 2009-01-15 아사히 가라스 가부시키가이샤 박판 유리 적층체, 박판 유리 적층체를 이용한 표시 장치의제조 방법 및 지지 유리 기판
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
CN101489949B (zh) 2006-07-12 2012-12-19 旭硝子株式会社 带保护用玻璃的玻璃基板、使用带保护用玻璃的玻璃基板的显示装置的制造方法及剥离纸用硅酮
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
KR101486601B1 (ko) 2007-03-12 2015-01-26 아사히 가라스 가부시키가이샤 보호 유리 부착 유리 기판 및 보호 유리 부착 유리 기판을 사용한 표시 장치의 제조 방법
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
DE502008002309D1 (de) 2007-04-26 2011-02-24 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
CN101679109B (zh) 2007-06-20 2011-11-09 旭硝子株式会社 采用氟化剂的氧化物玻璃的表面处理方法
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
JP5788173B2 (ja) 2007-06-25 2015-09-30 ブルーワー サイエンス アイ エヌシー. 高温スピンオン仮接合用組成物
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP4337935B2 (ja) 2007-07-11 2009-09-30 セイコーエプソン株式会社 接合体および接合方法
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
WO2009085362A2 (en) 2007-10-05 2009-07-09 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
EP2238618B1 (en) 2008-01-24 2015-07-29 Brewer Science, Inc. Method for reversibly mounting a device wafer to a carrier substrate
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
CA2713980C (en) 2008-02-05 2013-06-25 Saint-Gobain Performance Plastics Corporation A multi-layer barrier article
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
KR101517263B1 (ko) 2008-04-08 2015-04-30 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 반도체 처리 중 가요성 기판의 비틀림 및 굽힘을 감소시키는 조립체 및 방법
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
WO2009128359A1 (ja) 2008-04-17 2009-10-22 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネルおよびこれらの製造方法
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
US20110311789A1 (en) 2008-09-12 2011-12-22 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for Attaching Flexible Substrates to Rigid Carriers and Resulting Devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
JP2012509393A (ja) 2008-11-19 2012-04-19 ダウ コーニング コーポレーション シリコーン組成物およびその製造方法
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
US8455872B2 (en) 2008-12-05 2013-06-04 Koninklijke Philips Electronics N.V. Electronic devices having plastic substrates
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
WO2010098762A1 (en) 2009-02-27 2010-09-02 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
KR101561729B1 (ko) 2009-05-06 2015-10-19 코닝 인코포레이티드 유리 기판의 캐리어
WO2010128611A1 (ja) 2009-05-08 2010-11-11 日立化成工業株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
KR101360876B1 (ko) 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
KR20120059512A (ko) 2009-08-27 2012-06-08 아사히 가라스 가부시키가이샤 플렉시블 기재-지지체의 적층 구조체, 지지체를 갖는 전자 디바이스용 패널 및 전자 디바이스용 패널의 제조 방법
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
KR101723254B1 (ko) 2009-09-08 2017-04-04 도요보 가부시키가이샤 유리/수지 적층체 및 그것을 사용한 전자 디바이스
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
JP5797653B2 (ja) 2009-09-11 2015-10-21 ロックタイト (アール アンド ディー) リミテッドLoctite (R & D) Limited ポリマー接着用組成物
KR101730901B1 (ko) 2009-09-18 2017-04-27 니폰 덴키 가라스 가부시키가이샤 유리 필름의 제조 방법, 유리 필름의 처리 방법 및 유리 필름 적층체
KR20120098640A (ko) 2009-10-20 2012-09-05 아사히 가라스 가부시키가이샤 유리 적층체 및 그의 제조 방법, 및 표시 패널의 제조 방법 및 그 제조 방법에 의해 얻어지는 표시 패널
JP5637140B2 (ja) 2009-10-20 2014-12-10 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
JP2013514105A (ja) 2009-12-17 2013-04-25 セラムテック ゲゼルシャフト ミット ベシュレンクテル ハフツング セラミック基材への骨セメントの接着性を改善するための表面調整法
CN102695685B (zh) 2010-01-12 2015-02-11 日本电气硝子株式会社 玻璃膜层叠体及其制造方法以及玻璃膜的制造方法
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
KR20130097069A (ko) 2010-05-11 2013-09-02 아사히 가라스 가부시키가이샤 적층체의 제조 방법 및 적층체
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
WO2012014959A1 (ja) 2010-07-28 2012-02-02 日本電気硝子株式会社 ガラスフィルム積層体
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
WO2012014499A1 (ja) 2010-07-29 2012-02-02 三井化学株式会社 組成物、この組成物からなる表示デバイス端面シール剤用組成物、表示デバイス、およびその製造方法
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
CN103299448B (zh) 2010-09-29 2016-09-07 Posco公司 使用辊形状母基板的柔性电子器件的制造方法、柔性电子器件及柔性基板
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
CA2772110C (en) 2011-02-01 2013-07-23 Micro Technology Co., Ltd. Thin-sheet glass substrate laminate and method of manufacturing the same
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
US9604391B2 (en) 2011-04-15 2017-03-28 Toyobo Co., Ltd. Laminate, production method for same, and method of creating device structure using laminate
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
WO2012144499A1 (ja) 2011-04-22 2012-10-26 旭硝子株式会社 積層体、その製造方法及び用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
US9827757B2 (en) 2011-07-07 2017-11-28 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
EP2761051B1 (en) 2011-09-27 2018-11-07 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
WO2013058217A1 (ja) 2011-10-18 2013-04-25 旭硝子株式会社 積層体、積層体の製造方法、および、電子デバイス用部材付きガラス基板の製造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
EP2804747B1 (en) 2012-01-16 2022-07-13 DDP Specialty Electronic Materials US 9, LLC Optical article and method of forming
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
KR20140129153A (ko) 2012-02-08 2014-11-06 코닝 인코포레이티드 캐리어로 플렉시블 유리를 가공하는 방법
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
KR20150023312A (ko) 2012-05-29 2015-03-05 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
KR102159763B1 (ko) 2012-08-17 2020-09-25 코닝 인코포레이티드 초-박형 강화 유리
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
JP5913608B2 (ja) 2012-09-28 2016-04-27 Hoya株式会社 電子機器用カバーガラス及びその製造方法
US10487009B2 (en) * 2012-10-12 2019-11-26 Corning Incorporated Articles having retained strength
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
WO2014164206A1 (en) 2013-03-09 2014-10-09 John Moore Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
CN105658594B (zh) 2013-03-15 2019-01-04 康宁股份有限公司 玻璃片的整体退火
JP6070822B2 (ja) 2013-03-15 2017-02-01 日産自動車株式会社 非水電解質二次電池
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
TWI617521B (zh) 2013-04-02 2018-03-11 Asahi Glass Co Ltd 附有被覆膜之玻璃基板及其製造方法
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
EP3099484A1 (en) 2014-01-27 2016-12-07 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
WO2015113020A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of polymer surfaces with carriers
JP6770432B2 (ja) 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
WO2015119210A1 (ja) 2014-02-07 2015-08-13 旭硝子株式会社 ガラス積層体
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
KR20160143652A (ko) 2014-04-10 2016-12-14 아사히 가라스 가부시키가이샤 유리 적층체 및 그 제조 방법, 전자 디바이스의 제조 방법
JPWO2015163134A1 (ja) 2014-04-25 2017-04-13 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
JPWO2016017645A1 (ja) 2014-08-01 2017-07-06 旭硝子株式会社 無機膜付き支持基板およびガラス積層体、ならびに、それらの製造方法および電子デバイスの製造方法
US9790593B2 (en) 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
JP6123919B2 (ja) 2016-01-07 2017-05-10 住友ベークライト株式会社 化粧板
WO2018038961A1 (en) 2016-08-22 2018-03-01 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014093775A1 (en) 2012-12-13 2014-06-19 Corning Incorporated Glass and methods of making glass articles
WO2014093776A1 (en) 2012-12-13 2014-06-19 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
WO2015054098A1 (en) 2013-10-07 2015-04-16 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers

Also Published As

Publication number Publication date
KR102524620B1 (ko) 2023-04-21
WO2016209897A1 (en) 2016-12-29
EP3313799B1 (en) 2022-09-07
KR20180048589A (ko) 2018-05-10
JP2018526244A (ja) 2018-09-13
EP3313799A1 (en) 2018-05-02
CN117534339A (zh) 2024-02-09
CN107810168A (zh) 2018-03-16
US11905201B2 (en) 2024-02-20
US20200039872A1 (en) 2020-02-06
TW201708152A (zh) 2017-03-01
TWI723025B (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
JP7106276B2 (ja) シート及び担体を有する物品及び方法
TWI654088B (zh) 用於聚合物表面與載具之受控接合之物件及方法
KR20160114106A (ko) 얇은 시트와 캐리어의 제어된 결합을 위한 표면 개질 층의 처리
KR20150095822A (ko) 유리 및 유리 물품의 제조 방법
CN106132688B (zh) 用于薄片与载体的受控粘结的制品和方法
JP6310479B2 (ja) シートとキャリアとの間の結合を制御するための促進された加工
JP6373354B2 (ja) ライトポイント欠陥と表面粗さを低減するための半導体オンインシュレータウエハの製造方法
KR20150097606A (ko) Oled 장치의 가공 방법
KR20150094742A (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
TW201517175A (zh) 用於半導體與中介層處理之載具接合方法與物件
JP5065748B2 (ja) 貼り合わせウエーハの製造方法
WO2014153923A1 (zh) 薄膜和制造薄膜的方法
EP2261954B1 (en) Method for producing soi substrate
JP2012509581A (ja) ヘテロ構造を作製するためのサファイア基板の表面の前処理
TW201736292A (zh) 玻璃片之大量退火
US9922954B2 (en) Method for performing direct bonding between two structures
Moutanabbir et al. III-V and III-Nitride Engineered Heterostructures: Wafer Bonding, Ion Slicing, and More
Williams et al. Planarization of GaN by the Etch-Back Method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190621

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200617

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210629

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220301

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220601

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220615

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220713

R150 Certificate of patent or registration of utility model

Ref document number: 7106276

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150