WO2021146860A1 - Stacked chip, manufacturing method, image sensor, and electronic device - Google Patents

Stacked chip, manufacturing method, image sensor, and electronic device Download PDF

Info

Publication number
WO2021146860A1
WO2021146860A1 PCT/CN2020/073303 CN2020073303W WO2021146860A1 WO 2021146860 A1 WO2021146860 A1 WO 2021146860A1 CN 2020073303 W CN2020073303 W CN 2020073303W WO 2021146860 A1 WO2021146860 A1 WO 2021146860A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
chip
layer
pad
target
Prior art date
Application number
PCT/CN2020/073303
Other languages
French (fr)
Chinese (zh)
Inventor
陆斌
姚国峰
沈健
Original Assignee
深圳市汇顶科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 深圳市汇顶科技股份有限公司 filed Critical 深圳市汇顶科技股份有限公司
Priority to PCT/CN2020/073303 priority Critical patent/WO2021146860A1/en
Priority to CN202080001656.9A priority patent/CN111819689A/en
Publication of WO2021146860A1 publication Critical patent/WO2021146860A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto

Abstract

A stacked chip, a manufacturing method, an image sensor, and an electronic device, capable of reducing the manufacturing cost of stacked chips. The stacked chip comprises: a carrier unit provided with a first accommodating structure therein, the first accommodating structure being a recess or a through hole; a first chip disposed in the first accommodating structure; a rewiring layer disposed above the first chip; a first pad disposed above the rewiring layer, the first pad being electrically connected to the first chip by means of the rewiring layer; and a second chip stacked above the carrier unit and the first chip, the second chip comprising a second pad, and the second pad being electrically connected to the first pad, wherein the surface area of the second chip is greater than that of the first chip.

Description

堆叠式的芯片、制造方法、图像传感器和电子设备Stacked chip, manufacturing method, image sensor and electronic device 技术领域Technical field
本申请涉及半导体芯片领域,并且更为具体地,涉及一种堆叠式的芯片、制造方法、图像传感器和电子设备。This application relates to the field of semiconductor chips, and more specifically, to a stacked chip, a manufacturing method, an image sensor, and an electronic device.
背景技术Background technique
随着半导体和集成电路技术的发展,芯片的器件类型越来越丰富,集成度越来越高,在二维平面上,随着半导体工艺发展到某个极致程度,无法进一步提高芯片的性能,因此,目前业内提出了一种三维堆叠的概念,将芯片从二维扩展到三维,即将不同功能的芯片模块上下堆叠在一起进行封装,从而提高芯片的整体性能和良率。With the development of semiconductor and integrated circuit technology, the device types of chips are becoming more and more abundant, and the integration degree is getting higher and higher. On the two-dimensional plane, as the semiconductor technology develops to a certain extreme degree, the performance of the chip cannot be further improved. Therefore, a three-dimensional stacking concept has been proposed in the industry to expand the chip from two-dimensional to three-dimensional, that is, chip modules with different functions are stacked on top of each other for packaging, thereby improving the overall performance and yield of the chip.
在一种实现方式中,上层晶片(Die)和下层晶片通过晶圆级键合工艺(Wafer-level Bonding Process),以晶圆(Wafer)到晶圆的方式堆叠至一起,以形成堆叠式的三维芯片。为了满足堆叠的工艺要求,上层晶片和下层晶片具有相同的晶片尺寸,上层晶圆上上层晶片的数量与下层晶圆上晶片的数量相等,但当上层晶片和下层晶片不是同一类型的晶片时,该堆叠方式会造成晶圆面积的浪费,增加堆叠式芯片的制造成本。In one implementation, the upper die and the lower die are stacked together in a wafer-to-wafer manner through a wafer-level bonding process (Wafer-level Bonding Process) to form a stacked Three-dimensional chip. In order to meet the stacking process requirements, the upper and lower wafers have the same wafer size, and the number of upper wafers on the upper wafer is equal to the number of wafers on the lower wafer, but when the upper and lower wafers are not the same type of wafers, This stacking method will cause a waste of wafer area and increase the manufacturing cost of stacked chips.
因此,何如降低堆叠式芯片的制造成本,是一项亟待解决的问题。Therefore, how to reduce the manufacturing cost of stacked chips is an urgent problem to be solved.
发明内容Summary of the invention
本申请实施例提供了一种堆叠式的芯片、制造方法、图像传感器和电子设备,能够降低堆叠式芯片的制造成本。The embodiments of the present application provide a stacked chip, a manufacturing method, an image sensor, and an electronic device, which can reduce the manufacturing cost of the stacked chip.
第一方面,提供了一种堆叠式的芯片,包括:载体单元,其中设置有第一容置结构,该第一容置结构为凹槽或通孔;第一晶片,设置于该第一容置结构中;再布线层,设置于该第一晶片上方;第一焊盘,设置于该再布线层上方,该第一焊盘通过该再布线层与该第一晶片电连接;第二晶片,堆叠于该载体单元和该第一晶片的上方,该第二晶片包括第二焊盘,该第二焊盘与该第一焊盘电连接,其中,该第二晶片的表面面积大于该第一晶片的表面面积。In a first aspect, a stacked chip is provided, including: a carrier unit in which a first accommodating structure is provided, and the first accommodating structure is a groove or a through hole; a first wafer is provided in the first accommodating The rewiring layer is arranged above the first wafer; the first pad is arranged above the rewiring layer, and the first pad is electrically connected to the first wafer through the rewiring layer; the second wafer , Stacked above the carrier unit and the first chip, the second chip includes a second pad, and the second pad is electrically connected to the first pad, wherein the surface area of the second chip is larger than the first pad The surface area of a wafer.
在本申请实施例中,通过载体单元中第一容置结构为第一晶片提供支撑 和稳定,实现将大面积的第二晶片堆叠在小面积的第一晶片上,从而可以在实现堆叠芯片结构的同时,还能够在晶圆上尽可能多的制造小面积的第一晶片,降低单颗第一晶片的成本,从而降低整体的制造成本。此外,第一晶片不是以晶圆的方式与第二晶片进行键合,而是单颗的放入载体单元的第一凹槽中,可以在对第一晶片和第二晶片堆叠前,对第一晶片和第二晶片进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高整体芯片的良率,进一步降低整体的制造成本。此外,不同于晶圆级的键合方式,本申请中的方案,将单颗的第二晶片与载体单元中的第一晶片堆叠,不需要将堆叠的两个晶圆上所有的芯片进行对准,能够降低工艺的复杂度,从而提高芯片的制造效率。In the embodiment of the present application, the first accommodating structure in the carrier unit provides support and stability for the first wafer, so that a large-area second wafer can be stacked on a small-area first wafer, so that a stacked chip structure can be realized. At the same time, it is also possible to manufacture as many small-area first chips as possible on the wafer, reducing the cost of a single first chip, thereby reducing the overall manufacturing cost. In addition, the first chip is not bonded to the second chip in the manner of a wafer, but a single chip is placed in the first groove of the carrier unit, and the first chip and the second chip can be stacked before the first chip and the second chip are stacked. The first wafer and the second wafer are tested to screen out wafers with good performance and remove the wafers with poor performance to improve the overall chip yield and further reduce the overall manufacturing cost. In addition, unlike the wafer-level bonding method, the solution in this application stacks a single second wafer with the first wafer in the carrier unit, and does not need to align all the chips on the two stacked wafers. Therefore, the complexity of the process can be reduced, and the manufacturing efficiency of the chip can be improved.
在一种可能的实施方式中,该第二晶片的表面面积小于该载体单元的表面面积。In a possible implementation, the surface area of the second wafer is smaller than the surface area of the carrier unit.
在一种可能的实施方式中,该芯片还包括:特定焊盘,设置于该再布线层上方,该特定焊盘通过该再布线层与该第一晶片电连接;该特定焊盘用于通过引线与该芯片所在的装置中的电路板连接。In a possible implementation manner, the chip further includes: a specific pad disposed above the rewiring layer, the specific pad is electrically connected to the first chip through the rewiring layer; the specific pad is used to pass through The lead is connected to the circuit board in the device where the chip is located.
在一种可能的实施方式中,该特定焊盘位于该第二晶片在垂直方向的投影之外。In a possible implementation manner, the specific pad is located outside the projection of the second wafer in the vertical direction.
在一种可能的实施方式中,该堆叠式的芯片为图像传感芯片;该第二晶片为像素晶片,该像素晶片包括像素阵列,用于接收光信号并转换为电信号;该第一晶片为逻辑晶片,该逻辑晶片包括信号处理电路,用于处理该电信号。In a possible implementation, the stacked chip is an image sensor chip; the second chip is a pixel chip, and the pixel chip includes a pixel array for receiving optical signals and converting them into electrical signals; the first chip It is a logic chip that includes a signal processing circuit for processing the electrical signal.
在一种可能的实施方式中,该第二晶片还包括衬底、第一介质层以及第二金属线路层;该像素阵列形成于该衬底中,该第一介质层设置在该衬底的表面,该第二金属线路层形成于该第一介质层中;该第二金属线路层电连接于该像素阵列,且该第二金属线路层中设置有该第二焊盘。In a possible implementation, the second wafer further includes a substrate, a first dielectric layer, and a second metal circuit layer; the pixel array is formed in the substrate, and the first dielectric layer is disposed on the substrate. On the surface, the second metal circuit layer is formed in the first dielectric layer; the second metal circuit layer is electrically connected to the pixel array, and the second pad is provided in the second metal circuit layer.
在一种可能的实施方式中,该第二焊盘设置于该像素阵列在该第二金属线路层所在平面的投影之外,该第一焊盘位于该第二焊盘的正上方。In a possible implementation manner, the second pad is disposed outside the projection of the pixel array on the plane where the second metal circuit layer is located, and the first pad is located directly above the second pad.
在一种可能的实施方式中,该第二晶片为背照式结构,该像素阵列靠近于该衬底的下表面,且该第一介质层设置在该衬底的下表面。In a possible implementation manner, the second wafer has a back-illuminated structure, the pixel array is close to the lower surface of the substrate, and the first dielectric layer is disposed on the lower surface of the substrate.
在一种可能的实施方式中,该第二金属线路层与该第一介质层的下表面之间设置有开孔以在该第二金属线路层中形成该第二焊盘。In a possible implementation manner, an opening is provided between the second metal circuit layer and the lower surface of the first dielectric layer to form the second pad in the second metal circuit layer.
在一种可能的实施方式中,该第二晶片还包括第二介质层,该第二介质 层设置于该第一介质层下表面的非开孔区域,该第二金属线路层与该第一介质层的下表面之间设置有开孔以在该第二金属线路层中形成该第二焊盘。In a possible implementation manner, the second wafer further includes a second dielectric layer, the second dielectric layer is disposed on a non-opening area on the lower surface of the first dielectric layer, and the second metal circuit layer is connected to the first dielectric layer. An opening is arranged between the lower surfaces of the dielectric layer to form the second pad in the second metal circuit layer.
在一种可能的实施方式中,该第二晶片还包括第二介质层,该第二介质层覆盖该第一介质层的下表面,该第二金属线路层与该第二介质层的下表面之间设置有开孔以在该第二金属线路层中形成该第二焊盘。In a possible implementation manner, the second wafer further includes a second dielectric layer covering the lower surface of the first dielectric layer, and the second metal circuit layer and the lower surface of the second dielectric layer An opening is arranged therebetween to form the second pad in the second metal circuit layer.
在一种可能的实施方式中,该第二晶片为正照式结构,该像素阵列靠近于该衬底的上表面,且该第一介质层设置在该衬底的上表面;该第二金属线路层与该衬底的下表面之间设置有开孔以形成该第二焊盘。In a possible implementation manner, the second wafer has a front-illuminated structure, the pixel array is close to the upper surface of the substrate, and the first dielectric layer is disposed on the upper surface of the substrate; the second metal circuit An opening is provided between the layer and the lower surface of the substrate to form the second pad.
在一种可能的实施方式中,该第二焊盘下方设置有凸块底层金属化层,或者设置有通孔互连结构,该凸块底层金属化层或者该通孔互连结构下方设置有焊球。In a possible implementation manner, an underlying bump metallization layer or a through-hole interconnection structure is provided under the second pad, and an underlying bump metallization layer or the through-hole interconnection structure is provided under Solder balls.
在一种可能的实施方式中,该第二晶片还包括:光学组件,设置在该像素阵列上方,该光学组件包括滤光层和/或微透镜阵列。In a possible implementation manner, the second wafer further includes: an optical component disposed above the pixel array, and the optical component includes a filter layer and/or a microlens array.
在一种可能的实施方式中,该第二晶片还包括透明盖板,该透明盖板设置在该光学元件上方,其中,该透明盖板与该光学元件之间为空气或者透明介质层。In a possible implementation manner, the second wafer further includes a transparent cover plate disposed above the optical element, wherein there is air or a transparent medium layer between the transparent cover plate and the optical element.
在一种可能的实施方式中,该芯片还包括:第三介质层和第四介质层,该第三介质层设置在该再布线层与该载体单元之间,用于形成导电通道连接该再布线层与该第一晶片的第一金属线路层;该第四介质层设置在该第一焊盘与该再布线层之间,用于形成导电通道连接该再布线层与该第一焊盘。In a possible implementation manner, the chip further includes: a third dielectric layer and a fourth dielectric layer. The third dielectric layer is disposed between the rewiring layer and the carrier unit for forming a conductive channel to connect the rewiring layer. The wiring layer and the first metal circuit layer of the first chip; the fourth dielectric layer is disposed between the first pad and the rewiring layer, and is used to form a conductive channel to connect the rewiring layer and the first pad .
在一种可能的实施方式中,该芯片还包括第一导热金属层,该第一导热金属层设置在该第四介质层的上表面,该第一导热金属层与该第一焊盘位于同一水平面上。In a possible implementation, the chip further includes a first thermally conductive metal layer, the first thermally conductive metal layer is disposed on the upper surface of the fourth dielectric layer, and the first thermally conductive metal layer and the first pad are located on the same Horizontal surface.
在一种可能的实施方式中,若该第一容置结构为凹槽,该芯片还包括:第二导热金属层,设置在该第一容置结构的底部,该第一晶片设置于该第二导热金属层上,该第二导热金属层通过至少一个导热金属结构连接至该载体单元的下表面。In a possible implementation manner, if the first accommodating structure is a groove, the chip further includes: a second thermally conductive metal layer disposed on the bottom of the first accommodating structure, and the first wafer is disposed on the first accommodating structure. On the two heat-conducting metal layers, the second heat-conducting metal layer is connected to the lower surface of the carrier unit through at least one heat-conducting metal structure.
在一种可能的实施方式中,该载体单元的下表面还设置有第三导热金属层,该第三导热金属层与该至少一个导热金属结构连接。In a possible implementation, a third thermally conductive metal layer is further provided on the lower surface of the carrier unit, and the third thermally conductive metal layer is connected to the at least one thermally conductive metal structure.
在一种可能的实施方式中,该载体单元中还设置有第二容置结构,该第二容置结构为凹槽或通孔;该芯片还包括:第三晶片,设置于该第二容置结 构中;该第二晶片,堆叠于该载体单元、该第一晶片和该第三晶片的上方,该第二晶片通过其下表面的第二焊盘与该第一焊盘电连接,且该第二晶片的表面面积大于该第一晶片与该第三晶片的表面面积之和。In a possible implementation manner, a second accommodating structure is further provided in the carrier unit, and the second accommodating structure is a groove or a through hole; the chip further includes: a third wafer disposed in the second container The second chip is stacked above the carrier unit, the first chip and the third chip, the second chip is electrically connected to the first pad through a second pad on its lower surface, and The surface area of the second wafer is greater than the sum of the surface areas of the first wafer and the third wafer.
在一种可能的实施方式中,该再布线层设置于该第一晶片和该第三晶片的上方,该第三晶片通过该再布线层与该第一晶片电连接。In a possible implementation manner, the rewiring layer is disposed above the first wafer and the third wafer, and the third wafer is electrically connected to the first wafer through the rewiring layer.
在一种可能的实施方式中,该芯片还包括第三焊盘,设置于该再布线层上方,该第三焊盘通过该再布线层与该第三晶片电连接;该第二晶片还包括第四焊盘,该第四焊盘与该第三焊盘电连接。In a possible embodiment, the chip further includes a third pad disposed above the rewiring layer, and the third pad is electrically connected to the third chip through the rewiring layer; the second chip further includes The fourth pad is electrically connected to the third pad.
在一种可能的实施方式中,该第三晶片为图像传感芯片中的内存晶片,该内存晶片包括存储电路,用于存储该第一晶片和/或该第二晶片产生的电信号。In a possible implementation manner, the third chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for storing electrical signals generated by the first chip and/or the second chip.
在一种可能的实施方式中,该第三晶片为伪芯片,用于平衡该芯片加工过程中的机械应力。In a possible implementation manner, the third wafer is a dummy chip, which is used to balance mechanical stress during the processing of the chip.
在一种可能的实施方式中,该载体单元为衬底、塑封料、封装基板中的任意一种,其中,该衬底的材料为硅、玻璃、陶瓷中的任意一种。In a possible implementation manner, the carrier unit is any one of a substrate, a molding compound, and a packaging substrate, wherein the material of the substrate is any one of silicon, glass, and ceramic.
第二方面,提供了一种堆叠式芯片的制造方法,包括:从第一晶圆上分割出多个第一晶片;将该多个第一晶片封装在载体中,在该多个第一晶片上方制备再布线层;在该再布线层上方制备第一焊盘,该第一焊盘通过该再布线层与该第一目标晶片电连接;在第二晶圆上制备多个第二晶片,并从该第二晶圆上分割出该多个第二晶片中的第二目标晶片,该第二目标晶片包括第二焊盘;将该第二目标晶片堆叠于该第一目标晶片上方,焊接该第一焊盘与该第二焊盘,以电连接该第一目标晶片和该第二目标晶片;将电连接后的该第一目标晶片与该第二目标晶片的整体进行切割,以得到一个堆叠式芯片,其中,该第二目标晶片的表面面积大于该第一目标晶片的表面面积。In a second aspect, a method for manufacturing a stacked chip is provided, which includes: dividing a plurality of first chips from a first wafer; packaging the plurality of first chips in a carrier, and A rewiring layer is prepared above; a first pad is prepared above the rewiring layer, and the first pad is electrically connected to the first target chip through the rewiring layer; a plurality of second chips are prepared on a second wafer, The second target chip of the plurality of second chips is divided from the second wafer, the second target chip includes a second pad; the second target chip is stacked on the first target chip, and soldered The first bonding pad and the second bonding pad are electrically connected to the first target chip and the second target chip; the electrically connected whole of the first target chip and the second target chip are cut to obtain A stacked chip, wherein the surface area of the second target wafer is greater than the surface area of the first target wafer.
在一种可能的实施方式中,该制造方法还包括:在该再布线层上方制备特定焊盘,该特定焊盘通过该再布线层与该第一目标晶片电连接;该特定焊盘用于通过引线与该芯片所在的装置中的电路板连接。In a possible implementation, the manufacturing method further includes: preparing a specific pad above the rewiring layer, and the specific pad is electrically connected to the first target wafer through the rewiring layer; and the specific pad is used for It is connected to the circuit board in the device where the chip is located through the lead.
在一种可能的实施方式中,该载体为衬底晶圆,该将该多个第一晶片封装在载体中,包括:在衬底晶圆上制作多个第一容置结构,该第一容置结构为凹槽或通孔;将该多个第一晶片固定在该多个第一容置结构中,该多个第一晶片的上表面不高于该衬底晶圆的上表面;在固定有该多个第一晶片的该 衬底晶圆上方制备该再布线层。In a possible embodiment, the carrier is a substrate wafer, and packaging the plurality of first chips in the carrier includes: fabricating a plurality of first accommodating structures on the substrate wafer, the first The accommodating structure is a groove or a through hole; the plurality of first chips are fixed in the plurality of first accommodating structures, and the upper surface of the plurality of first chips is not higher than the upper surface of the substrate wafer; The rewiring layer is prepared above the substrate wafer on which the plurality of first wafers are fixed.
在一种可能的实施方式中,该载体为塑封料,该将该多个第一晶片封装在载体中,包括:将该多个第一晶片封装在该塑封料中,其中,该多个第一晶片的上表面与空气接触,且该多个第一晶片的上表面不高于该塑封料的上表面;在封装有该多个第一晶片的该塑封料上方制备该再布线层。In a possible embodiment, the carrier is a plastic encapsulant, and encapsulating the plurality of first chips in the carrier includes: encapsulating the plurality of first chips in the plastic encapsulant, wherein the plurality of first chips The upper surface of a chip is in contact with air, and the upper surface of the plurality of first chips is not higher than the upper surface of the plastic compound; the rewiring layer is prepared on the plastic compound that encapsulates the plurality of first chips.
在一种可能的实施方式中,该载体为封装基板,该将该多个第一晶片封装在载体中,包括:将该多个第一晶片封装在该封装基板内部;在该封装基板中制备该再布线层,其中,该再布线层包括多层水平设置的金属线路层以及多个垂直设置的互连结构。In a possible implementation manner, the carrier is a packaging substrate, and packaging the plurality of first chips in the carrier includes: packaging the plurality of first chips inside the packaging substrate; preparing in the packaging substrate The rewiring layer, wherein the rewiring layer includes a plurality of horizontally arranged metal circuit layers and a plurality of vertically arranged interconnection structures.
在一种可能的实施方式中,该堆叠式芯片为图像传感芯片,该第二目标晶片为像素晶片,该第二目标晶片包括像素阵列,用于接收光信号并转换为电信号;该第一目标晶片为逻辑晶片,该第一目标晶片包括信号处理电路,用于处理该电信号。In a possible implementation, the stacked chip is an image sensor chip, the second target wafer is a pixel wafer, and the second target wafer includes a pixel array for receiving optical signals and converting them into electrical signals; A target chip is a logic chip, and the first target chip includes a signal processing circuit for processing the electrical signal.
在一种可能的实施方式中,该在第二晶圆上制备第二目标晶片,包括:在该第二晶圆中制备该第二目标晶片的像素阵列,并在该第二晶圆的表面制备第一介质层和第二金属线路层,其中,该第二金属线路层形成于该第一介质层中,且该第二金属线路层电连接于该像素阵列;制备该第二焊盘,该第二焊盘形成于该第二金属线路层中;在该第二焊盘下方制备电连接装置。In a possible implementation manner, the preparing a second target wafer on the second wafer includes: preparing a pixel array of the second target wafer in the second wafer, and placing the pixel array on the second wafer on the surface of the second wafer. Preparing a first dielectric layer and a second metal wiring layer, wherein the second metal wiring layer is formed in the first dielectric layer, and the second metal wiring layer is electrically connected to the pixel array; preparing the second pad, The second pad is formed in the second metal circuit layer; an electrical connection device is prepared under the second pad.
在一种可能的实施方式中,该第二焊盘形成于该像素阵列在其垂直方向的投影之外。In a possible implementation, the second pad is formed outside the projection of the pixel array in its vertical direction.
在一种可能的实施方式中,该第二目标晶片为背照式结构,该在该第二晶圆中制备该第二目标晶片的像素阵列,并在该第二晶圆的表面制备第一介质层和第二金属线路层,包括:在该第二晶圆的下部制备该像素阵列,该像素阵列靠近于该第二晶圆的下表面;在该第二晶圆的下表面制备该第一介质层和该第二金属线路层。In a possible embodiment, the second target wafer has a back-illuminated structure, the pixel array of the second target wafer is prepared in the second wafer, and the first wafer is prepared on the surface of the second wafer. The dielectric layer and the second metal circuit layer include: preparing the pixel array on the lower part of the second wafer, the pixel array being close to the lower surface of the second wafer; preparing the second wafer on the lower surface of the second wafer A dielectric layer and the second metal circuit layer.
在一种可能的实施方式中,该方法还包括:采用晶圆键合工艺键合将该第二晶圆键合在衬底晶圆上;对该第二晶圆的上表面进行减薄处理,其中,该像素阵列接近于减薄处理后的该第二晶圆的上表面。In a possible implementation manner, the method further includes: bonding the second wafer to the substrate wafer by using a wafer bonding process; and thinning the upper surface of the second wafer , Wherein the pixel array is close to the upper surface of the second wafer after the thinning process.
在一种可能的实施方式中,该方法还包括:在该像素阵列的上方设置透明盖板作为支撑结构,对该衬底晶圆的下表面进行减薄处理至该第二金属线路层接近于该衬底晶圆的下表面。In a possible implementation manner, the method further includes: disposing a transparent cover plate as a supporting structure above the pixel array, and performing a thinning process on the lower surface of the substrate wafer until the second metal circuit layer is close to The bottom surface of the substrate wafer.
在一种可能的实施方式中,该制备该第二焊盘,包括:对该衬底晶圆的下表面进行刻蚀处理形成开孔,该开孔连接该第二金属线路层,以在该第二金属线路层中形成该第二焊盘。In a possible implementation, the preparation of the second pad includes: performing an etching process on the lower surface of the substrate wafer to form an opening, and the opening is connected to the second metal circuit layer to form an opening in the second metal circuit layer. The second pad is formed in the second metal circuit layer.
在一种可能的实施方式中,该方法还包括:在该像素阵列的上方设置透明盖板作为支撑结构,对该衬底晶圆的下表面进行减薄处理至完全去除该衬底晶圆。In a possible implementation manner, the method further includes: arranging a transparent cover plate as a supporting structure above the pixel array, and performing a thinning process on the lower surface of the substrate wafer to completely remove the substrate wafer.
在一种可能的实施方式中,该制备该第二焊盘,包括:对该第一介质层的下表面进行刻蚀处理形成开孔,该开孔连接该第二金属线路层,以在该第二金属线路层中形成该第二焊盘。In a possible implementation manner, the preparing the second pad includes: performing an etching treatment on the lower surface of the first dielectric layer to form an opening, and the opening is connected to the second metal circuit layer to form an opening in the second metal circuit layer. The second pad is formed in the second metal circuit layer.
在一种可能的实施方式中,该第二目标晶片为正照式结构,该在该第二晶圆中制备该第二目标晶片的像素阵列,并在该第二晶圆的表面制备第一介质层和第二金属线路层,包括:在该第二晶圆的上部制备该像素阵列,该像素阵列靠近于该第二晶圆的上表面;在该第二晶圆的上表面制备该第一介质层和该第二金属线路层。In a possible embodiment, the second target wafer has a front-illuminated structure, the pixel array of the second target wafer is prepared in the second wafer, and the first medium is prepared on the surface of the second wafer Layer and the second metal circuit layer, including: preparing the pixel array on the upper part of the second wafer, the pixel array being close to the upper surface of the second wafer; preparing the first pixel array on the upper surface of the second wafer A dielectric layer and the second metal circuit layer.
在一种可能的实施方式中,该制备该第二焊盘,包括:对该第二晶圆的下表面进行刻蚀处理形成开孔,该开孔连接该第二金属线路层,以在该第二金属线路层中形成该第二焊盘。In a possible implementation manner, the preparing the second pad includes: performing an etching treatment on the lower surface of the second wafer to form an opening, and the opening is connected to the second metal circuit layer to form an opening in the second metal circuit layer. The second pad is formed in the second metal circuit layer.
在一种可能的实施方式中,该在该第二焊盘下方制备电连接装置,包括:在该第二焊盘下方制备凸块底层金属化层或者通孔互连结构,在该凸块底层金属化层或者通孔连接结构下方制备焊球。In a possible implementation manner, preparing an electrical connection device under the second pad includes: preparing an under-bump metallization layer or a via interconnection structure under the second pad, and Solder balls are prepared under the metallization layer or the through-hole connection structure.
在一种可能的实施方式中,该在该第二晶圆中制备该第二目标晶片的像素阵列之后,该方法还包括:在该像素阵列上方制备光学组件,该光学组件包括:滤光层和/或微透镜阵列。In a possible implementation manner, after preparing the pixel array of the second target wafer in the second wafer, the method further includes: preparing an optical component over the pixel array, the optical component including: a filter layer And/or micro lens array.
在一种可能的实施方式中,该制造方法还包括:从第三晶圆上分割出多个第三晶片;将该多个第三晶片与该多个第一晶片一起封装在该载体中,该再布线层与该多个第三晶片中的第三目标晶片电连接;将该第一目标晶片、该第二目标晶片和该第三目标晶片的整体进行切割,以得到一个堆叠式芯片;其中,该第二目标晶片的表面面积大于该第一目标晶片和该第三目标晶片的表面面积之和。In a possible implementation manner, the manufacturing method further includes: dividing a plurality of third chips from the third wafer; packaging the plurality of third chips and the plurality of first chips in the carrier, The rewiring layer is electrically connected to a third target wafer among the plurality of third wafers; the whole of the first target wafer, the second target wafer, and the third target wafer is cut to obtain a stacked chip; Wherein, the surface area of the second target wafer is greater than the sum of the surface areas of the first target wafer and the third target wafer.
在一种可能的实施方式中,该第三晶片通过该再布线层与该第一晶片电连接。In a possible implementation manner, the third wafer is electrically connected to the first wafer through the rewiring layer.
在一种可能的实施方式中,该制造方法还包括:在该再布线层上方制备第三焊盘,该第三焊盘通过该再布线层与该第三目标晶片电连接;焊接该第三焊盘与该第二晶片的第四焊盘,以电连接该第三目标晶片和该第二目标晶片。In a possible implementation manner, the manufacturing method further includes: preparing a third pad above the rewiring layer, and the third pad is electrically connected to the third target chip through the rewiring layer; and soldering the third pad. The bonding pad and the fourth bonding pad of the second chip are electrically connected to the third target chip and the second target chip.
在一种可能的实施方式中,该第三目标晶片为图像传感芯片中的内存晶片,该内存晶片包括存储电路,用于存储该第一目标晶片和/或该第二目标晶片产生的电信号。In a possible implementation, the third target chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for storing electricity generated by the first target chip and/or the second target chip. Signal.
在一种可能的实施方式中,该第三目标晶片为伪芯片,用于平衡该芯片加工过程中的机械应力。In a possible implementation manner, the third target wafer is a dummy chip, which is used to balance mechanical stress during the processing of the chip.
第三方面,提供了一种图像传感器,包括:如第一方面或第一方面的任一可能的实现方式中的堆叠式的芯片。In a third aspect, an image sensor is provided, including: a stacked chip as in the first aspect or any possible implementation of the first aspect.
第四方面,提供了一种电子设备,包括:如第一方面或第一方面的任一可能的实现方式中的堆叠式的芯片。In a fourth aspect, an electronic device is provided, including: a stacked chip as in the first aspect or any possible implementation of the first aspect.
通过在图像传感器或者电子设备中设置上述堆叠式的芯片,通过降低该芯片的制造成本,从而降低图像传感器或者电子设备的整体制造成本。By providing the above-mentioned stacked chips in the image sensor or the electronic device, the manufacturing cost of the chip is reduced, thereby reducing the overall manufacturing cost of the image sensor or the electronic device.
附图说明Description of the drawings
图1至图3是根据本申请实施例的三种互补金属氧化物半导体图像传感芯片的结构示意图。1 to 3 are schematic structural diagrams of three complementary metal oxide semiconductor image sensor chips according to embodiments of the present application.
图4是根据本申请实施例的像素晶圆上多个像素晶片的示意性分布图。FIG. 4 is a schematic distribution diagram of a plurality of pixel chips on a pixel wafer according to an embodiment of the present application.
图5是根据本申请实施例的逻辑晶圆上多个逻辑晶片的示意性分布图。FIG. 5 is a schematic distribution diagram of a plurality of logic chips on a logic wafer according to an embodiment of the present application.
图6是根据本申请实施例的一种堆叠式芯片的分体结构示意图。FIG. 6 is a schematic diagram of the split structure of a stacked chip according to an embodiment of the present application.
图7至图9是根据本申请实施例的载体单元、第一晶片以及再布线层的三种截面示意图。7 to 9 are three schematic cross-sectional views of the carrier unit, the first wafer, and the rewiring layer according to an embodiment of the present application.
图10是根据本申请实施例的一种第二晶片的俯视示意图。FIG. 10 is a schematic top view of a second wafer according to an embodiment of the present application.
图11至图14是根据本申请实施例的第二晶片的四种截面示意图。11 to 14 are four schematic cross-sectional views of a second wafer according to an embodiment of the present application.
图15至图18是根据本申请实施例的载体单元、第一晶片以及再布线层的另四种截面示意图。15 to 18 are other four cross-sectional schematic diagrams of the carrier unit, the first wafer, and the rewiring layer according to the embodiments of the present application.
图19是根据本申请实施例的一种堆叠式芯片的结构示意图。FIG. 19 is a schematic structural diagram of a stacked chip according to an embodiment of the present application.
图20是根据本申请实施例的另一堆叠式芯片的分体结构示意图。FIG. 20 is a schematic diagram of the split structure of another stacked chip according to an embodiment of the present application.
图21至图23是根据本申请实施例的载体单元、第一晶片以及再布线层 的另三种截面示意图。Figures 21 to 23 are other three cross-sectional schematic diagrams of the carrier unit, the first wafer, and the rewiring layer according to the embodiments of the present application.
图24是根据本申请实施例的另一堆叠式芯片的结构示意图。FIG. 24 is a schematic structural diagram of another stacked chip according to an embodiment of the present application.
图25是根据本申请实施例的一种堆叠式芯片的制造方法的示意性流程框图。FIG. 25 is a schematic flow chart of a method for manufacturing a stacked chip according to an embodiment of the present application.
图26是根据本申请实施例的另一堆叠式芯片的制造方法的示意性流程框图。FIG. 26 is a schematic flow chart of another method for manufacturing a stacked chip according to an embodiment of the present application.
图27至图32是根据本申请实施例的多个工艺步骤后的部分晶圆截面图。27 to 32 are partial cross-sectional views of a wafer after multiple process steps according to an embodiment of the present application.
图33是根据本申请实施例的另一堆叠式芯片的制造方法的示意性流程框图。FIG. 33 is a schematic flow chart of another method for manufacturing a stacked chip according to an embodiment of the present application.
图34至图37是根据本申请实施例的多个工艺步骤后的部分晶圆截面图。34 to 37 are partial cross-sectional views of a wafer after multiple process steps according to an embodiment of the present application.
图38是根据本申请实施例的另一堆叠式芯片的制造方法的示意性流程框图。FIG. 38 is a schematic flowchart of another method for manufacturing a stacked chip according to an embodiment of the present application.
图39是根据本申请实施例的另一堆叠式芯片的制造方法的示意性流程框图。FIG. 39 is a schematic flow chart of another method for manufacturing a stacked chip according to an embodiment of the present application.
图40是根据本申请实施的一种图像传感器的示意性结构框图。Fig. 40 is a schematic structural block diagram of an image sensor implemented according to the present application.
图41是根据本申请实施的一种电子设备的示意性结构框图。Fig. 41 is a schematic structural block diagram of an electronic device implemented according to the present application.
具体实施方式Detailed ways
下面将结合附图,对本申请实施例中的技术方案进行描述。The technical solutions in the embodiments of the present application will be described below in conjunction with the accompanying drawings.
应理解,本文中的具体的例子只是为了帮助本领域技术人员更好地理解本申请实施例,而非限制本申请实施例的范围。It should be understood that the specific examples in this document are only intended to help those skilled in the art to better understand the embodiments of the present application, rather than limiting the scope of the embodiments of the present application.
还应理解,在本申请的各种实施例中,各过程的序号的大小并不意味着执行顺序的先后,各过程的执行顺序应以其功能和内在逻辑确定,而不应对本申请实施例的实施过程构成任何限定。It should also be understood that, in the various embodiments of the present application, the size of the sequence number of each process does not mean the order of execution. The execution order of each process should be determined by its function and internal logic, and should not correspond to the embodiments of the present application. The implementation process constitutes any limitation.
还应理解,本说明书中描述的各种实施方式,既可以单独实施,也可以组合实施,本申请实施例对此并不限定。It should also be understood that the various implementation manners described in this specification can be implemented individually or in combination, which is not limited in the embodiments of the present application.
本申请实施例的技术方案可以应用于各种芯片,例如存储芯片,处理芯片,传感器芯片等等,本申请实施例对此并不限定。The technical solutions of the embodiments of the present application can be applied to various chips, such as memory chips, processing chips, sensor chips, etc., which are not limited in the embodiments of the present application.
可选地,本申请实施例的技术方案可以应用于各种图像传感芯片,例如 生物特征识别图像传感器或者拍摄装置中的图像传感器,但本申请实施例对此并不限定。Optionally, the technical solution of the embodiment of the present application can be applied to various image sensor chips, such as a biometric image sensor or an image sensor in a photographing device, but the embodiment of the present application is not limited thereto.
作为一种常见的应用场景,本申请实施例提供的芯片可以应用在智能手机、相机、平板电脑等移动终端中或者服务器、超算设备等其它电子设备中。As a common application scenario, the chip provided in the embodiments of the present application can be used in mobile terminals such as smart phones, cameras, and tablet computers, or in other electronic devices such as servers and supercomputers.
图1至图3示出了三种互补金属氧化物半导体(Complementary Metal Oxide Semiconductor,CMOS)图像传感芯片10的结构示意图,该CMOS图像传感芯片为可将光学图像转换为数字信号的传感器芯片,广泛应用于数码产品、移动终端、安防监控以及科研工业等各个领域。作为一种常见的应用场景,本申请实施例提供的图像传感芯片10可以应用在电子设备的拍摄装置中,例如,手机的前置或者后置摄像头中。Figures 1 to 3 show schematic structural diagrams of three complementary metal oxide semiconductor (Complementary Metal Oxide Semiconductor, CMOS) image sensor chips 10, which are sensor chips that can convert optical images into digital signals , Widely used in various fields such as digital products, mobile terminals, security monitoring and scientific research industry. As a common application scenario, the image sensor chip 10 provided in the embodiment of the present application can be applied to a photographing device of an electronic device, for example, a front or rear camera of a mobile phone.
图1示出了一种传统的图像传感芯片10的示意性结构图。如图1所示,图像传感芯片10在单颗晶片100上制造形成,该图像传感器10在晶片100上可大致分为两块区域:像素阵列区110和处理电路区120。其中,像素阵列区110包括多个CMOS像素单元组成的像素阵列,用于接收光信号并将光信号转换为对应的电信号。图像传感器10中的像素阵列区110中的像素总数是衡量图像传感器的主要技术指标之一,决定了图像传感器的感光性能,分辨率等多个因素,因此,一般占用的面积较大,可选地,该像素阵列区110占据整个晶片100的70%以上面积。在像素阵列区110中,每一个像素单元由一个光电二极管(Photo-diode,PD)和一个或多个CMOS开关管组成,因此像素阵列区110的器件类型少,电路结构相对简单,器件工艺要求相对较低,例如,采用65nm工艺即可满足像素阵列区的设计要求。FIG. 1 shows a schematic structural diagram of a conventional image sensor chip 10. As shown in FIG. 1, the image sensor chip 10 is manufactured on a single wafer 100. The image sensor 10 on the wafer 100 can be roughly divided into two areas: a pixel array area 110 and a processing circuit area 120. Wherein, the pixel array area 110 includes a pixel array composed of a plurality of CMOS pixel units for receiving light signals and converting the light signals into corresponding electrical signals. The total number of pixels in the pixel array area 110 of the image sensor 10 is one of the main technical indicators for measuring the image sensor, which determines the photosensitive performance, resolution and other factors of the image sensor. Therefore, it generally occupies a larger area and is optional. Ground, the pixel array area 110 occupies more than 70% of the area of the entire wafer 100. In the pixel array area 110, each pixel unit is composed of a photo-diode (PD) and one or more CMOS switch tubes. Therefore, the pixel array area 110 has fewer device types, relatively simple circuit structure, and device process requirements. Relatively low, for example, the 65nm process can meet the design requirements of the pixel array area.
此外,处理电路区120可以包括控制像素阵列的控制电路、处理像素阵列产生的电信号的信号处理电路、模数转换电路以及数字处理电路等功能电路,用于配合像素阵列进行工作以产生数字图像信号。该处理电路区120在整个晶片100上占据的面积较小,但在这些功能电路中,例如数字处理电路,由于需要实现较复杂的功能,电路结构相对复杂,器件类型多且集成度高,因此工艺要求相对较高,例如,需要采用45nm及以下的工艺才能满足功能电路的设计要求,这些工艺的加工成本更高。In addition, the processing circuit area 120 may include a control circuit for controlling the pixel array, a signal processing circuit for processing electrical signals generated by the pixel array, an analog-to-digital conversion circuit, a digital processing circuit and other functional circuits for working with the pixel array to generate digital images. Signal. The processing circuit area 120 occupies a small area on the entire wafer 100, but in these functional circuits, such as digital processing circuits, due to the need to implement more complex functions, the circuit structure is relatively complex, the device types are many and the integration is high, so Process requirements are relatively high. For example, processes of 45nm and below are required to meet the design requirements of functional circuits, and the processing costs of these processes are higher.
图2示出了一种堆叠式图像传感芯片10的示意性结构图。如图2所示,图像传感芯片10由上、下两颗晶片堆叠形成,像素阵列区110位于第一晶片101上,用于获取光信号并转换为电信号。在第二晶片102上包含了由大 量模拟和数字电路组成的处理电路区120,包括信号处理电路和控制电路,该信号处理电路用于进行电信号的处理,该控制电路用于控制像素阵列中的像素工作。可选地,可以将第一晶片101称为像素晶片(Pixel Die),其所对应的晶圆称为像素晶圆(Pixel Wafer);而将第二晶片102称为逻辑晶片(Logic Die),其所对应的晶圆称为逻辑晶圆(Logic Wafer)或者图像信号处理晶圆(Image Signal Processing Wafer,ISP Wafer)。其中,像素晶片和逻辑晶片的形状大小完全相同,在堆叠过程中,像素晶片与逻辑晶片在垂直方向上完全重合。FIG. 2 shows a schematic structural diagram of a stacked image sensor chip 10. As shown in FIG. 2, the image sensor chip 10 is formed by stacking two upper and lower wafers, and the pixel array area 110 is located on the first wafer 101 for acquiring optical signals and converting them into electrical signals. The second wafer 102 contains a processing circuit area 120 composed of a large number of analog and digital circuits, including a signal processing circuit and a control circuit, the signal processing circuit is used to process electrical signals, and the control circuit is used to control the pixel array Pixel work. Optionally, the first chip 101 may be called a pixel die, and the corresponding wafer may be called a pixel wafer; and the second chip 102 may be called a logic die. The corresponding wafer is called logic wafer (Logic Wafer) or image signal processing wafer (Image Signal Processing Wafer, ISP Wafer). Among them, the shape and size of the pixel chip and the logic chip are exactly the same. During the stacking process, the pixel chip and the logic chip are completely overlapped in the vertical direction.
图3示出了另一种堆叠式图像传感芯片10的示意性结构图。如图3所示,图像传感芯片10由三层晶片堆叠形成,由上至下分别为像素晶片101、内存晶片103以及逻辑晶片102。该三种晶片的形状大小完全相同,在堆叠过程中,像素晶片101、逻辑晶片102以及内存晶片103在垂直方向上完全重合。其中,内存晶片103上包含存储电路130,用于存储像素阵列和/或处理电路产生的电信号。可选地,存储电路的电路结构也相对复杂,集成度高,线宽线距较小,因此同样需要较高的工艺进行制造。FIG. 3 shows a schematic structural diagram of another stacked image sensor chip 10. As shown in FIG. 3, the image sensor chip 10 is formed by stacking three layers of wafers, and from top to bottom are the pixel wafer 101, the memory wafer 103, and the logic wafer 102, respectively. The shapes and sizes of the three types of wafers are completely the same. During the stacking process, the pixel wafer 101, the logic wafer 102, and the memory wafer 103 completely overlap in the vertical direction. The memory chip 103 includes a storage circuit 130 for storing electrical signals generated by the pixel array and/or processing circuit. Optionally, the circuit structure of the memory circuit is relatively complicated, the integration is high, and the line width and line spacing are small. Therefore, a higher process is also required for manufacturing.
可选地,该存储电路可以为动态随机存取存储器(Dynamic Random Access Memory,DRAM)电路。应理解,该存储电路还可以为其它类型的存储电路,例如其它随机存储(Random Access Memory,RAM)器电路或者只读存储器电路(Read Only Memory,ROM)电路,本申请实施例对此不做任何限定。Optionally, the storage circuit may be a dynamic random access memory (Dynamic Random Access Memory, DRAM) circuit. It should be understood that the storage circuit may also be other types of storage circuits, such as other random access memory (RAM) circuit or read only memory (ROM) circuit, which is not done in the embodiment of the application. Any restrictions.
相比于图1中的非堆叠式结构,图2和图3中的堆叠式图像传感器具有三大优势:一是像素阵列区与处理电路区不会互相抢占空间,因此可以放入更多的像素,提高图像传感器的感光性能,分辨率等等。二是逻辑晶圆可以采用更加先进的工艺节点制作,带来晶体管密度和算力的提升,从而使得堆叠式图像传感芯片能提供更多的功能,例如硬件高动态范围成像(High Dynamic Range Imaging,HDR),慢动作拍摄等。三是可以将存储功能集成在图像传感器中,从而实现更快的数据读取速度。因此,堆叠式图像传感器目前在高端的图像传感器中占据主导地位。Compared with the non-stacked structure in Figure 1, the stacked image sensor in Figures 2 and 3 has three advantages: First, the pixel array area and the processing circuit area will not occupy each other's space, so more can be placed Pixels, improve the photosensitive performance, resolution and so on of the image sensor. The second is that logic wafers can be made with more advanced process nodes, which will increase transistor density and computing power, so that stacked image sensor chips can provide more functions, such as hardware high dynamic range imaging (High Dynamic Range Imaging). , HDR), slow motion shooting, etc. The third is that the storage function can be integrated in the image sensor to achieve faster data reading speed. Therefore, stacked image sensors currently dominate high-end image sensors.
以上结合图1至图3,以传统非堆叠式图像传感芯片与堆叠式图像传感芯片为例,对比了两者的结构与性能差异,应理解,其他领域中的芯片,例如存储器芯片、处理器芯片等等也可以采用传统的非堆叠式结构以及堆叠式 结构,采用堆叠式结构的存储器芯片与处理器芯片等等与非堆叠式结构相比,同样具有其各自的优点,例如具有更大的存储空间,更快的处理速度以及更小的体积等等。With reference to Figures 1 to 3 above, taking the traditional non-stacked image sensor chip and the stacked image sensor chip as an example, the structure and performance differences between the two are compared. It should be understood that chips in other fields, such as memory chips, The processor chip, etc. can also adopt the traditional non-stacked structure and the stacked structure. Compared with the non-stacked structure, the memory chips and processor chips that adopt the stacked structure also have their own advantages, such as more Large storage space, faster processing speed and smaller size, etc.
但是目前,通过晶圆级键合工艺,以晶圆到晶圆(Wafer to Wafer,W2W)的方式将两层晶圆堆叠至一起时,两层晶圆上多个晶片(Die)一一对应,且两层晶圆中对应的晶片大小相同,采用该方式便于工艺进行晶片对准,贴合精度高。但当两层晶圆上的电路的结构与功能不同时,对应的两个相同面积的晶片上,生长的电路面积不同,从而使得两层晶圆中某一层晶圆的面积没有得到充分利用,增加了制造成本。且在晶圆键合工艺中,可能将一个晶圆上坏的芯片强制键合至另一个晶圆上好的芯片上,从而影响良率,也会造成制造成本的增加。However, at present, when two layers of wafers are stacked together in a wafer-to-wafer (W2W) manner through a wafer-level bonding process, multiple dies on the two-layer wafers correspond one-to-one , And the size of the corresponding wafers in the two layers of wafers is the same. This method is used to facilitate the process of wafer alignment, and the bonding accuracy is high. However, when the structure and function of the circuits on the two layers of wafers are different, the areas of the circuits grown on the corresponding two wafers of the same area are different, so that the area of one layer of the wafers in the two layers of wafers is not fully utilized. , Increased manufacturing costs. In addition, in the wafer bonding process, a bad chip on one wafer may be forcibly bonded to a good chip on another wafer, which affects the yield rate and also causes an increase in manufacturing costs.
例如,如图4所示,像素晶圆11上制备有多个像素晶片101,每个像素晶片上均包括像素阵列区110,该像素晶片101中的大部分区域均被像素阵列区110占据。如图5所示,逻辑晶圆12与像素晶圆11的形状大小完全相同,在该逻辑晶圆12上制备有多个逻辑晶片102。该多个逻辑晶片102大小相同且与多个像素晶片101一一对应,当像素晶圆11与逻辑晶圆12进行晶圆级键合时,通过晶圆四周的标记进行对准,像素晶圆11堆叠在逻辑晶圆12上方,两者在垂直方向上完全重合,像素晶圆11中的每个像素晶片分别与逻辑晶圆12中的一个逻辑晶片对准,从而一个像素晶片对准键合在一个逻辑晶片上方。每个逻辑晶片102上均包括处理电路区120。该逻辑晶片102中仅部分区域被处理电路区120占据。因此,逻辑晶圆102上部分空间被浪费。且像素晶圆11以及逻辑晶圆上12上部分失效或者故障的芯片可能会强制键合在良好的芯片上,导致键合后芯片故障,影响整体的良率。For example, as shown in FIG. 4, a plurality of pixel wafers 101 are prepared on the pixel wafer 11, and each pixel wafer includes a pixel array area 110, and most of the area in the pixel wafer 101 is occupied by the pixel array area 110. As shown in FIG. 5, the shape and size of the logic wafer 12 and the pixel wafer 11 are exactly the same, and a plurality of logic wafers 102 are prepared on the logic wafer 12. The plurality of logic wafers 102 have the same size and correspond to the plurality of pixel wafers 101 one-to-one. When the pixel wafer 11 and the logic wafer 12 are bonded at the wafer level, alignment is performed by markings around the wafer, and the pixel wafer 11 is stacked on the logic wafer 12, and the two are completely overlapped in the vertical direction. Each pixel wafer in the pixel wafer 11 is aligned with a logic wafer in the logic wafer 12, so that one pixel wafer is aligned and bonded Above a logic chip. Each logic chip 102 includes a processing circuit area 120. Only a part of the area of the logic chip 102 is occupied by the processing circuit area 120. Therefore, part of the space on the logic wafer 102 is wasted. In addition, partially failed or faulty chips on the pixel wafer 11 and the logic wafer 12 may be forcibly bonded to a good chip, resulting in chip failure after bonding and affecting the overall yield.
类似地,若堆叠式的图像传感芯片包括内存晶片,内存晶片对应的晶圆为内存晶圆,该内存晶圆上晶片的分布与图2中逻辑晶圆12上逻辑晶片的分布类似,内存晶圆与像素晶圆以及逻辑晶圆的形状大小完全相同,晶圆键合时,内存晶圆堆叠在逻辑晶圆的上方,像素晶圆堆叠在内存晶圆的上方,三者在垂直方向上完全重合,且像素晶圆中的一个像素晶片、内存晶圆中的一个内存晶片以及逻辑晶圆中的一个逻辑晶片一一对应。内存晶片上同样仅有部分区域被存储电路占据,造成内存晶圆上的部分空间被浪费,故障的内存芯片经过强制键合后影响整体良率,且三层晶圆的键合也会增加制造成 本,例如现有技术中通常是将三层面积相同的晶圆通过两次晶圆级键合进行堆叠,这样就会增加一次键合的工艺,进而会进一步增加芯片的制作工艺和制作成本。Similarly, if the stacked image sensor chip includes a memory chip, the wafer corresponding to the memory chip is a memory wafer, and the distribution of the chips on the memory wafer is similar to the distribution of the logic chips on the logic wafer 12 in FIG. The shape and size of the wafer, the pixel wafer and the logic wafer are exactly the same. When the wafer is bonded, the memory wafer is stacked on top of the logic wafer, and the pixel wafer is stacked on top of the memory wafer. The three are in the vertical direction. They are completely overlapped, and one pixel chip in the pixel wafer, one memory chip in the memory wafer, and one logic chip in the logic wafer are in one-to-one correspondence. Only part of the memory chip area is also occupied by the storage circuit, causing some space on the memory wafer to be wasted. The failure of the memory chip after forced bonding affects the overall yield, and the bonding of the three-layer wafer will also increase manufacturing Cost. For example, in the prior art, three layers of wafers with the same area are usually stacked through two wafer-level bonding, which will increase the process of bonding once, which will further increase the manufacturing process and manufacturing cost of the chip.
基于上述问题,本申请提出了一种堆叠式芯片结构,通过充分利用晶圆的大小,制备更多的晶片,并对不同大小的晶片进行电连接,从而在实现堆叠式芯片的同时,降低单颗晶片的成本,从而降低堆叠式芯片的整体制造成本。Based on the above-mentioned problems, this application proposes a stacked chip structure. By making full use of the size of the wafer, more chips are prepared, and the chips of different sizes are electrically connected, so as to achieve stacked chips while reducing the number of chips. The cost of each chip, thereby reducing the overall manufacturing cost of stacked chips.
图6示出了本申请实施例的一种堆叠式芯片的分体结构示意图。FIG. 6 shows a schematic diagram of the split structure of a stacked chip according to an embodiment of the present application.
如图6所示,该堆叠式芯片20包括:As shown in FIG. 6, the stacked chip 20 includes:
载体单元200,其中设置有第一容置结构201,该第一容置结构为凹槽或者通孔;The carrier unit 200 is provided with a first accommodating structure 201, and the first accommodating structure is a groove or a through hole;
第一晶片210,设置于该第一容置结构201中;The first chip 210 is disposed in the first accommodating structure 201;
第二晶片220,堆叠于该第一晶片210和载体单元200的上方,该第二晶片220的表面面积大于该第一晶片210的表面面积。The second wafer 220 is stacked above the first wafer 210 and the carrier unit 200, and the surface area of the second wafer 220 is larger than the surface area of the first wafer 210.
具体地,该第一晶片210和第二晶片220为片状结构,因此,厚度较小。该第一晶片210的表面面积为第一晶片210的上表面面积或者下表面面积,通常而言,第一晶片210的上表面面积与下表面面积相等。同样的,第二晶片220的表面面积也为第一晶片210的上表面面积或者下表面面积。Specifically, the first wafer 210 and the second wafer 220 have a sheet-like structure, and therefore, have a small thickness. The surface area of the first wafer 210 is the upper surface area or the lower surface area of the first wafer 210. Generally, the upper surface area and the lower surface area of the first wafer 210 are equal. Similarly, the surface area of the second wafer 220 is also the upper surface area or the lower surface area of the first wafer 210.
由于第二晶片220的表面面积大于第一晶片210的表面面积,当需要将第二晶片220堆叠在第一晶片210上方时,需要一个支撑结构,例如本申请实施例中,在较大面积的载体单元200中设置第一容置结构201以容纳第一晶片210,并为第一晶片210和第二晶片220提供支撑,因此,第二晶片220堆叠在第一晶片210上方时,第二晶片220也堆叠在载体单元200的上方。Since the surface area of the second wafer 220 is larger than the surface area of the first wafer 210, when the second wafer 220 needs to be stacked on the first wafer 210, a supporting structure is required. The carrier unit 200 is provided with a first accommodating structure 201 to accommodate the first wafer 210 and provide support for the first wafer 210 and the second wafer 220. Therefore, when the second wafer 220 is stacked on top of the first wafer 210, the second wafer 220 is also stacked above the carrier unit 200.
可选地,在本申请实施例中,载体单元200为衬底、塑封料、塑封基板、电路板中的任意一种,其厚度大于上述第一晶片210。Optionally, in the embodiment of the present application, the carrier unit 200 is any one of a substrate, a molding compound, a molding substrate, and a circuit board, and the thickness of the carrier unit 200 is greater than that of the first wafer 210 described above.
可选地,第一晶片210可以完全位于载体单元200的内部,第一晶片210的上表面不高于载体单元200的上表面。Optionally, the first wafer 210 may be completely located inside the carrier unit 200, and the upper surface of the first wafer 210 is not higher than the upper surface of the carrier unit 200.
具体地,若第一容置结构201为凹槽,在一种实施方式中,该第一容置结构201可以位于载体单元200的内部,即凹槽和第一晶片210完全设置于载体单元200的内部,第一晶片210低于载体单元200的上表面。在另一种实施方式中,该第一容置结构201还可以位于载体单元200的上表面,此时, 第一晶片210的上表面可以与载体单元200的上表面位于同一水平面上,当然,若第一容置结构201的高度大于第一晶片210的厚度,则第一晶片210的上表面也可以低于载体单元200的。Specifically, if the first accommodating structure 201 is a groove, in one embodiment, the first accommodating structure 201 may be located inside the carrier unit 200, that is, the groove and the first wafer 210 are completely disposed in the carrier unit 200 In the interior, the first wafer 210 is lower than the upper surface of the carrier unit 200. In another embodiment, the first accommodating structure 201 may also be located on the upper surface of the carrier unit 200. In this case, the upper surface of the first wafer 210 and the upper surface of the carrier unit 200 may be on the same level. Of course, If the height of the first accommodating structure 201 is greater than the thickness of the first wafer 210, the upper surface of the first wafer 210 may also be lower than that of the carrier unit 200.
若第一容置结构201为通孔,第一晶片210设置于该通孔中,该第一晶片210的四个侧面与通孔孔壁固定连接,可选地,若载体单元200为塑封料,则第一晶片210直接固定在该载体单元200的通孔中,若载体单元200为塑封基板、电路板或者衬底,则第一晶片210可以通过胶层或者其它固定装置固定连接在通孔中。可选地,此时,第一晶片210的上表面不高于载体单元200的上表面,且第一晶片210的下表面不低于载体单元200的下表面。If the first accommodating structure 201 is a through hole, the first chip 210 is disposed in the through hole, and the four sides of the first chip 210 are fixedly connected to the walls of the through hole. Optionally, if the carrier unit 200 is a plastic package material , The first chip 210 is directly fixed in the through hole of the carrier unit 200. If the carrier unit 200 is a plastic package substrate, a circuit board or a substrate, the first chip 210 can be fixedly connected to the through hole by an adhesive layer or other fixing devices. middle. Optionally, at this time, the upper surface of the first wafer 210 is not higher than the upper surface of the carrier unit 200, and the lower surface of the first wafer 210 is not lower than the lower surface of the carrier unit 200.
在第一容置结构201为通孔的情况下,第一晶片210的下表面与空气接触,有利于第一晶片210的散热,能够提高第一晶片210和整个堆叠式芯片20的可靠性和整体性能。When the first accommodating structure 201 is a through hole, the lower surface of the first wafer 210 is in contact with the air, which is beneficial to the heat dissipation of the first wafer 210, and can improve the reliability and reliability of the first wafer 210 and the entire stacked chip 20. Overall performance.
下文以第一容置结构201为凹槽为例,说明堆叠式芯片20的整体结构,除了特殊说明以外,第一容置结构201为通孔的情况可以参见下文的说明。下文中,若第一容置结构201为凹槽,该第一容置结构201也写为第一凹槽201,若第一容置结构201为通孔,该第一容置结构201也写为第一通孔201。Hereinafter, taking the first accommodating structure 201 as a groove as an example to illustrate the overall structure of the stacked chip 20, unless otherwise specified, the case where the first accommodating structure 201 is a through hole can be referred to the following description. Hereinafter, if the first accommodating structure 201 is a groove, the first accommodating structure 201 is also written as the first groove 201, and if the first accommodating structure 201 is a through hole, the first accommodating structure 201 is also written It is the first through hole 201.
可选地,载体单元200中的第一凹槽201或者第一通孔201的形状大小可以与第一晶片210的形状大小相同或者略大于该第一晶片210,其中,第一通孔201可以为方形通孔,第一凹槽201可以为方形凹槽。换言之,载体单元200中的第一凹槽201的截面面积可以与第一晶片210的表面面积相同或者略大于该第一晶片210。例如,该第一晶片210为薄片结构,该第一凹槽201的深度与该第一晶片210的厚度相同或者略大于该第一晶片210的厚度,该第一凹槽201的长度和宽度也分别略大于该第一晶片210的长度和宽度,使得第一凹槽201可以完全将该第一晶片210容纳其中。可选地,该第一凹槽201的长宽深分别比第一晶片210的长宽高大25μm,或者其它任意数值,本申请实施例对此不做限定。Optionally, the shape and size of the first groove 201 or the first through hole 201 in the carrier unit 200 may be the same as or slightly larger than the shape and size of the first wafer 210, wherein the first through hole 201 may be It is a square through hole, and the first groove 201 may be a square groove. In other words, the cross-sectional area of the first groove 201 in the carrier unit 200 may be the same as or slightly larger than the surface area of the first wafer 210. For example, the first wafer 210 has a sheet structure, the depth of the first groove 201 is the same as the thickness of the first wafer 210 or slightly larger than the thickness of the first wafer 210, and the length and width of the first groove 201 are also It is slightly larger than the length and width of the first wafer 210, so that the first groove 201 can completely accommodate the first wafer 210 therein. Optionally, the length, width, and depth of the first groove 201 are respectively larger than the length, width, and height of the first wafer 210 by 25 μm, or any other value, which is not limited in the embodiment of the present application.
在本申请实施例中,第一晶片210的表面面积小于第二晶片220的表面面积,无法直接将第一晶片210的输入输出(Input Output,IO)端口与第二晶片220的IO端口进行电连接,需要将第一晶片210的IO端口进行扇出(Fan-out)封装,或者通过其它的技术手段将第一晶片210的IO端口进行重新布局。In the embodiment of the present application, the surface area of the first chip 210 is smaller than the surface area of the second chip 220, and it is impossible to directly connect the Input Output (IO) port of the first chip 210 with the IO port of the second chip 220. For connection, the IO ports of the first chip 210 need to be fan-out packaged, or the IO ports of the first chip 210 need to be rearranged by other technical means.
可选地,第一晶片210上方设置有再布线层(Re-Distribution Layer,RDL)214,该再布线层214用于连接第一晶片210输入输出端口,并对第一晶片210的IO端口进行重新布局,能够提高晶片之间互联的可靠性。Optionally, a re-distribution layer (RDL) 214 is provided above the first chip 210, and the re-distribution layer 214 is used to connect the input and output ports of the first chip 210 and perform processing on the IO ports of the first chip 210. Re-layout can improve the reliability of interconnection between chips.
图7至图9示出了载体单元200、第一晶片210以及再布线层214的三种截面示意图。7 to 9 show three schematic cross-sectional views of the carrier unit 200, the first wafer 210, and the rewiring layer 214.
如图7所示,载体单元200可以为衬底该衬底中设置第一凹槽201(图中未示出),第一晶片210设置于该第一凹槽201中。该第一晶片210通过第一胶层211在第一凹槽201的底部,以将第一晶片210稳定固定于第一凹槽中201。该胶层包括但不限于晶片粘结膜(Die Attach Film,DAF)。As shown in FIG. 7, the carrier unit 200 may be a substrate where a first groove 201 (not shown in the figure) is provided in the substrate, and the first wafer 210 is provided in the first groove 201. The first wafer 210 is at the bottom of the first groove 201 through the first adhesive layer 211 to stably fix the first wafer 210 in the first groove 201. The adhesive layer includes but is not limited to die attach film (DAF).
可选地,在一种实施方式中,当该第一胶层211的厚度为d1,第一晶片210的高度为d2,第一晶片210和第一胶层211的厚度之和d1+d2小于等于第一凹槽201的深度d0,换言之,第一晶片210的上表面不高载体单元的上表面。可选地,该d1+d2与d0之差可以在2~5μm之间,也可以为其它数值,本申请实施例对此不做限定。Optionally, in one embodiment, when the thickness of the first adhesive layer 211 is d1 and the height of the first wafer 210 is d2, the sum of the thicknesses of the first wafer 210 and the first adhesive layer 211 d1+d2 is less than It is equal to the depth d0 of the first groove 201, in other words, the upper surface of the first wafer 210 is not higher than the upper surface of the carrier unit. Optionally, the difference between d1+d2 and d0 may be between 2 μm and 5 μm, or may be other values, which is not limited in the embodiment of the present application.
当然,除了上述实施方式外,第一晶片210的上表面也可以高于载体单元的上表面,本申请实施例对此不做限定。Of course, in addition to the foregoing embodiments, the upper surface of the first wafer 210 may also be higher than the upper surface of the carrier unit, which is not limited in the embodiment of the present application.
可选地,该第一晶片210与第一凹槽201之间的空隙可以填充有介质层212,以将第一晶片210进一步稳定的固定在第一凹槽201中。该介质层212包括但不限于是高分子有机材料,例如干膜(Dry Film)材料或者其它流动性较好的高分子材料,也可以是CVD工艺或者涂覆工艺填充的无机材料,例如氧化硅,含硅玻璃等等。在本申请实施例中,该介质层212可以为一种可以光刻的干膜材料,在真空及加热的条件下可以无空洞的填充与第一晶片210与第一凹槽201之间,且采用可以光刻的材料作为介质层,在对第一凹槽与第一晶片之间的空隙进行填充固定的同时,还可以便于工艺加工,节省芯片的制造时间。Optionally, the gap between the first wafer 210 and the first groove 201 may be filled with a dielectric layer 212 to further stably fix the first wafer 210 in the first groove 201. The dielectric layer 212 includes, but is not limited to, a polymer organic material, such as a dry film (Dry Film) material or other polymer material with good fluidity, or an inorganic material filled by a CVD process or a coating process, such as silicon oxide. , Silicon glass and so on. In the embodiment of the present application, the dielectric layer 212 can be a dry film material that can be photoetched, and can be filled between the first wafer 210 and the first groove 201 without voids under vacuum and heating conditions, and Using a photolithographic material as the dielectric layer, while filling and fixing the gap between the first groove and the first wafer, it can also facilitate the process and save the manufacturing time of the chip.
可选地,如图7所示,第一晶片210中包括第一金属线路层213,该第一金属线路层213位于第一晶片210的表面,具体为第一晶片210的IO端口,用于与其他电学元器件,例如与第二晶片220进行电连接。此外,上述介质层212还可以覆盖于该载体单元200的上表面以及第一晶片210上表面中除第一金属线路层213外的部分区域。Optionally, as shown in FIG. 7, the first wafer 210 includes a first metal circuit layer 213, and the first metal circuit layer 213 is located on the surface of the first wafer 210, specifically the IO port of the first wafer 210, for It is electrically connected with other electrical components, for example, with the second wafer 220. In addition, the above-mentioned dielectric layer 212 may also cover the upper surface of the carrier unit 200 and a part of the upper surface of the first wafer 210 except for the first metal circuit layer 213.
在本申请实施例中,衬底可以为硅、玻璃、陶瓷或者其它任意材料,本 申请实施例对此不做限定。在一种可能的实施方式中,该载体单元200为单晶硅。In the embodiment of the present application, the substrate may be silicon, glass, ceramic or any other material, which is not limited in the embodiment of the present application. In a possible implementation, the carrier unit 200 is monocrystalline silicon.
如图8所示,载体单元200可以为塑封料,则其具体可以为环氧树脂模塑料(Epoxy Molding Compound,EMC),当然,该塑封料还可为现有技术中其它用于晶片封装的有机或者无机材料,本申请实施例对此也不做具体限定。As shown in FIG. 8, the carrier unit 200 may be a plastic molding compound, and it may specifically be an epoxy molding compound (EMC). Of course, the molding compound may also be other conventional chip packaging materials. For organic or inorganic materials, the embodiments of the present application do not specifically limit this.
在本申请实施例中,第一晶片210被塑封料包裹并固定,不需要额外的填充材料或者胶层对第一晶片210进行固定。可选地,在一些实施方式中,第一晶片210的上表面没有被塑封料包裹,而其它的五个平面被塑封料。在另一些实施方式中,第一晶片210的上表面和下表面没有被塑封料包裹,其余的四个表面被塑封料包裹。In the embodiment of the present application, the first wafer 210 is wrapped and fixed by a plastic molding compound, and no additional filling material or glue layer is required to fix the first wafer 210. Optionally, in some embodiments, the upper surface of the first wafer 210 is not wrapped by molding compound, and the other five planes are covered by molding compound. In other embodiments, the upper surface and the lower surface of the first wafer 210 are not wrapped by the molding compound, and the remaining four surfaces are wrapped by the molding compound.
如图7和图8所示,再布线层214设置在载体单元200和第一晶片210的上方,该再布线层214中包括金属走线层,其与第一晶片210表面的第一金属线路层213接触,形成二者的电连接关系。一般而言,再布线层通常包括金属走线层以及该金属走线层上方或者下方的绝缘介质层,在本申请实施例的图7与图8中,仅示出了该再布线层214中的金属走线层,应理解,该金属走线层的上方或者下方也可以进一步设置有绝缘介质层。As shown in FIGS. 7 and 8, the rewiring layer 214 is disposed above the carrier unit 200 and the first wafer 210. The rewiring layer 214 includes a metal wiring layer, which is connected to the first metal circuit on the surface of the first wafer 210. The layer 213 is in contact to form an electrical connection between the two. Generally speaking, the rewiring layer usually includes a metal wiring layer and an insulating dielectric layer above or below the metal wiring layer. In FIG. 7 and FIG. 8 of the embodiment of the present application, only the rewiring layer 214 is shown. It should be understood that an insulating dielectric layer may be further provided above or below the metal wiring layer.
还应理解,图7和图8中仅示出了再布线层214仅包括一层金属走线层的情况,该堆叠式芯片的再布线层214中还可以包括多层金属走线层。若该再布线层214包括多层金属走线层,多层金属走线层之间形成有绝缘介质层,且多层金属走线层之间可以相互形成电连接,该多层金属走线层中的位于最下方的一层金属走线层可以与图7中的再布线层214中的金属走线层相同。It should also be understood that FIG. 7 and FIG. 8 only show the case where the rewiring layer 214 includes only one metal wiring layer, and the rewiring layer 214 of the stacked chip may also include multiple metal wiring layers. If the rewiring layer 214 includes multiple metal wiring layers, an insulating dielectric layer is formed between the multiple metal wiring layers, and the multiple metal wiring layers can form electrical connections with each other. The metal wiring layer located at the bottom of, may be the same as the metal wiring layer in the rewiring layer 214 in FIG. 7.
如图9所示,载体单元200还可以为电路板,该电路板由绝缘材料制成,其中设置有多层金属层,该多层金属层可以为铜金属或者其它金属材料,用于传导电信号,该多层金属层之间可以通过互连结构进行连接,以实现多层金属层之间的电信号传递。As shown in FIG. 9, the carrier unit 200 may also be a circuit board, which is made of an insulating material, and is provided with multiple metal layers. The multiple metal layers may be copper metal or other metal materials for conducting electricity. Signals, the multi-layer metal layers can be connected through an interconnection structure to realize electrical signal transmission between the multi-layer metal layers.
在本申请实施例中,第一晶片210可以完全设置于电路板内部,其六个表面均被电路板的绝缘材料包裹,至少一层金属层通过互连结构连接至第一晶片210的IO端口(第一金属线路层),实现对第一晶片210的IO端口的重布局。In the embodiment of the present application, the first chip 210 may be completely disposed inside the circuit board, and its six surfaces are all wrapped by the insulating material of the circuit board, and at least one metal layer is connected to the IO port of the first chip 210 through the interconnection structure. (The first metal circuit layer) to realize the re-layout of the IO ports of the first chip 210.
由于电路板内的多层金属层以及互连结构实现了上述第一晶片210的 IO端口重布局的功能,在本申请实施例中,也将电路板内的多层金属层以及互连结构称为再布线层214。与图7和图8中的再布线层的区别在于,图9中的再布线层在载体单元,即电路板的内部形成,而图7和图8中的再布线层在载体单元,即衬底或者塑封料的上表面形成。Since the multi-layer metal layer and interconnection structure in the circuit board realize the function of the IO port re-layout of the first chip 210, in the embodiment of the present application, the multi-layer metal layer and the interconnection structure in the circuit board are also referred to as为Rewiring layer 214. The difference from the rewiring layer in Figures 7 and 8 is that the rewiring layer in Figure 9 is formed in the carrier unit, that is, the inside of the circuit board, while the rewiring layer in Figures 7 and 8 is formed in the carrier unit, that is, the liner. The bottom or the upper surface of the molding compound is formed.
可选地,载体单元200可以为印刷电路板(Printed Circuit Board,PCB),或者封装基板(Package Substrate,SUB),本申请实施例对电路板或者封装基板的类型不做具体限定。Optionally, the carrier unit 200 may be a printed circuit board (Printed Circuit Board, PCB) or a package substrate (Package Substrate, SUB), and the embodiment of the present application does not specifically limit the type of the circuit board or the package substrate.
应理解,上述图7至图9仅示例性的示出了几种通过再布线层214对第一晶片210的端口进行重布局的示意图,本领域技术人员还可以采用现有技术中任意一种可以将芯片端口进行重布局的封装方式,本申请实施例对此不做具体限定。It should be understood that the foregoing FIGS. 7 to 9 only exemplarily show several schematic diagrams of re-layouting the ports of the first wafer 210 through the rewiring layer 214, and those skilled in the art can also use any of the existing technologies. The chip port may be re-layouted in a packaging manner, which is not specifically limited in the embodiment of the present application.
在本申请实施例中,通过载体单元中第一容置结构为第一晶片提供支撑和稳定,实现将大面积的第二晶片堆叠在小面积的第一晶片上,从而可以在实现堆叠芯片结构的同时,还能够在晶圆上尽可能多的制造小面积的第一晶片,降低单颗第一晶片的成本,从而降低整体的制造成本。此外,第一晶片不是以晶圆的方式与第二晶片进行键合,而是单颗的放入载体单元的第一凹槽中,可以在对第一晶片和第二晶片堆叠前,对第一晶片和第二晶片进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高整体芯片的良率,进一步降低整体的制造成本。In the embodiment of the present application, the first accommodating structure in the carrier unit provides support and stability for the first wafer, so that a large-area second wafer can be stacked on a small-area first wafer, so that a stacked chip structure can be realized. At the same time, it is also possible to manufacture as many small-area first chips as possible on the wafer, reducing the cost of a single first chip, thereby reducing the overall manufacturing cost. In addition, the first chip is not bonded to the second chip in the manner of a wafer, but a single chip is placed in the first groove of the carrier unit, and the first chip and the second chip can be stacked before the first chip and the second chip are stacked. The first wafer and the second wafer are tested to screen out wafers with good performance and remove the wafers with poor performance to improve the overall chip yield and further reduce the overall manufacturing cost.
在本申请实施例中,不同于晶圆级的键合方式,本申请实施例的方案,将单颗的第二晶片与载体单元中的第一晶片堆叠,不需要将堆叠的两个晶圆上所有的芯片进行对准,能够降低工艺的复杂度,从而提高芯片的制造效率。In the embodiment of the present application, different from the wafer-level bonding method, the solution of the embodiment of the present application stacks a single second chip with the first chip in the carrier unit, and there is no need to stack two stacked wafers. Aligning all the chips on the board can reduce the complexity of the process, thereby improving the manufacturing efficiency of the chips.
可选地,上述第一晶片210和第二晶片220用于实现不同的电路功能,在一种可能的实施方式中,该堆叠式芯片20为一种图像传感芯片,第一晶片210可以为上述图1中的像素晶片101,第二晶片220可以为上述图1中的逻辑晶片102或者内存晶片103。若该第二晶片220为逻辑晶片,则该第二晶片上包含了由大量模拟和数字电路组成的处理电路区120,包括信号处理电路和控制电路,该信号处理电路用于进行电信号的处理,该控制电路用于控制像素阵列中的像素工作。Optionally, the first wafer 210 and the second wafer 220 described above are used to implement different circuit functions. In a possible implementation manner, the stacked chip 20 is an image sensor chip, and the first wafer 210 may be The pixel chip 101 in FIG. 1 and the second chip 220 may be the logic chip 102 or the memory chip 103 in FIG. 1 described above. If the second chip 220 is a logic chip, the second chip includes a processing circuit area 120 composed of a large number of analog and digital circuits, including a signal processing circuit and a control circuit, and the signal processing circuit is used to process electrical signals , The control circuit is used to control the work of the pixels in the pixel array.
可选地,若该堆叠式芯片20为处理器芯片,第一晶片210可以为中央处理器(Central Processing Unit,CPU)晶片,第二晶片220可以为图像处 理器(Graphics Processing Unit,GPU)晶片,或者其它控制处理晶片。Optionally, if the stacked chip 20 is a processor chip, the first chip 210 may be a central processing unit (CPU) chip, and the second chip 220 may be a graphics processing unit (GPU) chip , Or other control processing wafers.
在另一种可能的实施方式中,堆叠式芯片20可以为一种存储芯片,其中第一晶片210为逻辑晶片,该逻辑晶片包括存储芯片中的处理电路,用于对信号进行控制并处理。第二晶片220为存储晶片,包括存储电路,其用于进行数据存储,可选地,在本申请实施例中,载体单元200和第一晶片210上方可以堆叠有多个第二晶片,即逻辑晶片上方堆叠有多个存储晶片,以实现存储芯片更大的存储空间。In another possible implementation, the stacked chip 20 may be a memory chip, where the first chip 210 is a logic chip, and the logic chip includes a processing circuit in the memory chip for controlling and processing signals. The second chip 220 is a storage chip and includes a storage circuit, which is used for data storage. Optionally, in the embodiment of the present application, a plurality of second chips may be stacked above the carrier unit 200 and the first chip 210, that is, logic Multiple storage wafers are stacked above the wafer to achieve a larger storage space for the storage chips.
应理解,该堆叠式芯片20还可以为多种不同领域中的芯片,其中的第一晶片和第二晶片为实现对应电路功能的功能芯片,且第一晶片和第二晶片的电路功能不同。It should be understood that the stacked chip 20 may also be chips in a variety of different fields, wherein the first chip and the second chip are functional chips that implement corresponding circuit functions, and the circuit functions of the first chip and the second chip are different.
可选地,在本申请中,上述载体单元200可以为一个载体的单位局部区域,该载体可以划分为多个载体单元,该载体可以为衬底晶圆、塑封料或者电路板,其中,该载体设置多个第一晶片,在载体上方或者载体内部形成再布线层,以对多个第一晶片的IO端口进行重布局。Optionally, in the present application, the above-mentioned carrier unit 200 may be a unit partial area of a carrier, the carrier may be divided into a plurality of carrier units, and the carrier may be a substrate wafer, a molding compound or a circuit board, wherein the The carrier is provided with a plurality of first chips, and a rewiring layer is formed on or inside the carrier to re-layout the IO ports of the plurality of first chips.
具体地,若载体为衬底晶圆,则在该衬底晶圆上通过光刻等工艺制备多个第一容置结构201,并在多个第一容置结构中一一放置多个第一晶片;若载体为塑封料,则将多个第一晶片210同时进行封装,包裹于塑封料中;若载体为电路板,则将多个第一晶片210封装于电路板内。Specifically, if the carrier is a substrate wafer, a plurality of first accommodating structures 201 are prepared on the substrate wafer by a process such as photolithography, and a plurality of first accommodating structures are placed one by one in the plurality of first accommodating structures. A chip; if the carrier is a plastic molding compound, the multiple first chips 210 are packaged simultaneously and wrapped in the plastic molding compound; if the carrier is a circuit board, the multiple first chips 210 are packaged in the circuit board.
上文结合图6至图9介绍了本申请中堆叠式芯片20中的载体单元200、第一晶片210以及再布线层214的结构,下文,结合第二晶片220,进一步介绍堆叠式芯片20的整体结构。The structure of the carrier unit 200, the first wafer 210, and the rewiring layer 214 in the stacked chip 20 in the present application is described above in conjunction with FIGS. 6-9. Below, in conjunction with the second wafer 220, the structure of the stacked chip 20 is further described. the whole frame.
可选地,在一种实施方式中,第二晶片220为经过封装后的单个晶片,第一晶片210为载体中的多个晶片中的一个。该第二晶片220可以通过晶片与晶圆(Chip to Wafer,C2W)的堆叠工艺堆叠于第一晶片210的上方。Optionally, in one embodiment, the second wafer 220 is a single wafer after packaging, and the first wafer 210 is one of a plurality of wafers in the carrier. The second chip 220 may be stacked on the first chip 210 through a chip to wafer (C2W) stacking process.
可选地,在另一种实施方式中,第二晶片220为第二晶圆中的多个晶片中的一个,第一晶片210为衬底晶圆中的多个晶片中的一个。该第二晶片220可以通过晶圆与晶圆(Wafer to Wafer,W2W)的堆叠工艺堆叠于第一晶片210上方。Optionally, in another embodiment, the second wafer 220 is one of a plurality of wafers in the second wafer, and the first wafer 210 is one of a plurality of wafers in the substrate wafer. The second chip 220 may be stacked on the first chip 210 through a wafer-to-wafer (W2W) stacking process.
本申请中,主要介绍第一种实施方式中,C2W堆叠工艺下,堆叠式芯片20的结构。In this application, the structure of the stacked chip 20 under the C2W stacking process in the first embodiment is mainly introduced.
可选地,在本申请实施方式中,堆叠式芯片20中的第一晶片210和第 二晶片220通过焊盘进行电连接,即C2W堆叠工艺下,载体上的多个第一晶片210均通过再布线层形成新的焊盘,第二晶片220中同样形成有焊盘,第一晶片210和第二晶片220通过连接焊盘实现彼此的电连接。Optionally, in the embodiment of the present application, the first wafer 210 and the second wafer 220 in the stacked chip 20 are electrically connected through pads, that is, in the C2W stacking process, a plurality of first wafers 210 on the carrier pass through The rewiring layer forms new pads. The second wafer 220 also has pads. The first wafer 210 and the second wafer 220 are electrically connected to each other through the connection pads.
图10示出了第二晶片220的一种俯视图。其中,该第二晶片为图像传感芯片中的像素晶片。FIG. 10 shows a top view of the second wafer 220. Wherein, the second wafer is a pixel wafer in the image sensor chip.
如图10所示,第二晶片220包括像素阵列和外围电路,其中,该像素阵列中包括多个像素单元,用于接收光信号并进行光学成像。该多个像素单元可以为采用CMOS工艺制备得到的像素单元,其可以包括光电二极管(Photo Diode)、金属氧化物半导体场效应管(Metal Oxide Semiconductor Field Effect Transistor,MOSFET)等器件。As shown in FIG. 10, the second wafer 220 includes a pixel array and a peripheral circuit. The pixel array includes a plurality of pixel units for receiving light signals and performing optical imaging. The plurality of pixel units may be pixel units fabricated by using CMOS technology, which may include photodiodes (Photodiodes), metal oxide semiconductor field effect transistors (Metal Oxide Semiconductor Field Effect Transistor, MOSFET) and other devices.
外围电路包括:模数转换电路,信号处理电路130,数字处理电路,以及逻辑控制电路等等。其中,像素阵列接收光信号并将光信号转换为电信号后,将电信号发送给信号处理电路以及模数转换电路,经过模数转换电路处理后得到数字信号,将该数字信号发送给数字处理电路进行数字信号处理得到图像信号。其中逻辑控制电路给上述像素阵列,模数转换电路,信号处理电路以及数字处理电路提供时序以及其它各种控制信号。Peripheral circuits include: analog-to-digital conversion circuit, signal processing circuit 130, digital processing circuit, logic control circuit and so on. Among them, after the pixel array receives the optical signal and converts the optical signal into an electrical signal, the electrical signal is sent to the signal processing circuit and the analog-to-digital conversion circuit, the digital signal is obtained after the analog-to-digital conversion circuit is processed, and the digital signal is sent to the digital processing The circuit performs digital signal processing to obtain the image signal. The logic control circuit provides timing and other various control signals to the above-mentioned pixel array, analog-to-digital conversion circuit, signal processing circuit and digital processing circuit.
此外,如图10所示,外围电路区域中还包括多个焊盘,该多个焊盘为第二晶片220的IO端口,用于将第二晶片220产生的图像信号传输给其它电学元器件。为了便于将第二晶片220中的焊盘与第一晶片210中的焊盘进行区分,本申请中,也将第一晶片210中的焊盘写为第一焊盘,将第二晶片220中的焊盘写为第二焊盘。In addition, as shown in FIG. 10, the peripheral circuit area also includes a plurality of pads, the plurality of pads are the IO ports of the second chip 220, which are used to transmit the image signals generated by the second chip 220 to other electrical components. . In order to facilitate the distinction between the pads in the second wafer 220 and the pads in the first wafer 210, in this application, the pads in the first wafer 210 are also written as the first pads, and the pads in the second wafer 220 The pad is written as the second pad.
图11示出了上述第二晶片220的一种截面示意图。FIG. 11 shows a schematic cross-sectional view of the second wafer 220 described above.
如图11所示,第二晶片220中包括像素阵列和第二金属线路层222,其中,该像素阵列中包括多个像素单元221。该多个像素单元221位于第二金属线路层222的上方,该第二晶片220为背照式(Backside Illuminated,BSI)互补金属氧化物半导体(Complementary Metal Oxide Semiconductor,CMOS)结构。BSI结构的第二晶片中,多个像素单元221接收的光信号强度大,因而形成的光学图像较优。As shown in FIG. 11, the second wafer 220 includes a pixel array and a second metal circuit layer 222, wherein the pixel array includes a plurality of pixel units 221. The plurality of pixel units 221 are located above the second metal circuit layer 222, and the second chip 220 has a backside illuminated (BSI) complementary metal oxide semiconductor (Complementary Metal Oxide Semiconductor, CMOS) structure. In the second wafer of the BSI structure, the intensity of the light signal received by the plurality of pixel units 221 is high, so the optical image formed is better.
第二金属线路层222为第二晶片220连接多个像素单元221和外围电路的线路层,图11仅示出了一层第二金属线路层222,应理解,该第二金属线路层还可以为多层,本申请实施例对此不做限定。The second metal circuit layer 222 is a circuit layer of the second chip 220 that connects the plurality of pixel units 221 and peripheral circuits. FIG. 11 only shows a second metal circuit layer 222. It should be understood that the second metal circuit layer may also It is a multilayer, which is not limited in the embodiment of the present application.
可选地,第二金属线路层222可以形成于第一介质层2201中,该第一介质层2201设置在该第二金属线路层222的周围,该第一介质层2201为绝缘介质,例如,硅、陶瓷、玻璃、或者其它有机材料等等。Optionally, the second metal circuit layer 222 may be formed in the first dielectric layer 2201, the first dielectric layer 2201 is disposed around the second metal circuit layer 222, and the first dielectric layer 2201 is an insulating medium, for example, Silicon, ceramics, glass, or other organic materials, etc.
在一种实施方式中,第一介质层2201连接像素阵列和第二金属线路层222。其中,像素阵列可以形成于衬底中,第一介质层2201连接于衬底。In one embodiment, the first dielectric layer 2201 connects the pixel array and the second metal circuit layer 222. Wherein, the pixel array may be formed in a substrate, and the first dielectric layer 2201 is connected to the substrate.
可选地,第二晶片220的第二焊盘2221形成于该第二金属线路层222中,具体地,形成于第二金属线路层222的四周区域,换言之,形成于图10中第二晶片220的外围电路的区域中。Optionally, the second pads 2221 of the second chip 220 are formed in the second metal circuit layer 222, specifically, are formed in the surrounding area of the second metal circuit layer 222, in other words, are formed in the second chip in FIG. 220 in the area of the peripheral circuit.
具体地,第一介质层2201中形成有开孔,该开孔连接第一介质层2201的下表面与第二金属线路层222,该开孔对应的第二金属线路层上的局部区域构成第二焊盘2221。Specifically, an opening is formed in the first dielectric layer 2201, and the opening connects the lower surface of the first dielectric layer 2201 and the second metal circuit layer 222, and the partial area on the second metal circuit layer corresponding to the opening constitutes the first Two bonding pads 2221.
可选地,第一介质层2201中形成有多个开孔,从而在第二金属线路层上形成有多个第二焊盘2221。Optionally, a plurality of openings are formed in the first dielectric layer 2201, so that a plurality of second pads 2221 are formed on the second metal circuit layer.
进一步地,第二焊盘2221下方形成有凸块底层金属化(Under Bump Metallization,UBM)层2222,在该UBM层上形成有焊球2223,该焊球2223用于与第一晶片210的第一焊盘进行焊接以实现电连接。Further, an Under Bump Metallization (UBM) layer 2222 is formed under the second pad 2221, and solder balls 2223 are formed on the UBM layer. The solder balls 2223 are used to interact with the first chip 210. A pad is soldered to achieve electrical connection.
可选地,UBM层2222可以为钛,铬,铜,金等多层金属薄膜,提高焊球2223的附着力。可选地,焊球2223也可以称之为凸块,该焊球2223的材料可以为金、锡铅合金、或者铜镍金合金等等,本申请实施例对UBM层和焊球的材料不做具体限定。Optionally, the UBM layer 2222 may be a multilayer metal film such as titanium, chromium, copper, gold, etc., to improve the adhesion of the solder balls 2223. Optionally, the solder ball 2223 may also be referred to as a bump. The material of the solder ball 2223 may be gold, tin-lead alloy, or copper-nickel-gold alloy, etc. The embodiment of the present application does not have any influence on the materials of the UBM layer and the solder ball. Make specific restrictions.
可选地,如图11所示,在多个像素单元221的上方,还设置有滤光层227以及微透镜阵列226,具体地,该滤光层227与微透镜阵列226设置于多个像素单元221的正上方。可选地,微透镜阵列226中的每个微透镜对应于多个像素单元221中的一个像素单元。像素单元221用于接收经过微透镜会聚、并经过滤光层227处理后的光信号,并基于该光信号进行光学成像。Optionally, as shown in FIG. 11, above the plurality of pixel units 221, a filter layer 227 and a microlens array 226 are further provided. Specifically, the filter layer 227 and the microlens array 226 are provided on the plurality of pixels. Right above the unit 221. Optionally, each microlens in the microlens array 226 corresponds to one pixel unit in the plurality of pixel units 221. The pixel unit 221 is used to receive the optical signal condensed by the microlens and processed by the filter layer 227, and perform optical imaging based on the optical signal.
可选地,该微透镜阵列226中的每个微透镜为圆形透镜或者为方形透镜,其上表面为球面或者非球面,每个微透镜的焦点可以位于其对应的像素单元上。Optionally, each microlens in the microlens array 226 is a round lens or a square lens, and its upper surface is a spherical or aspherical surface, and the focal point of each microlens can be located on its corresponding pixel unit.
可选地,该滤光层227可以为彩色滤光单元,例如,该滤光层227中包括三种颜色的滤光单元,分别用于透过红色光信号、蓝色光信号以及绿色光信号,其中一种颜色的滤光单元对应于至少一个微透镜以及至少一个像素单 元。可选地,该滤光层227还可以为用于滤过可见光,阻挡非可见光的滤光片,可以减少环境中红外波段对于光学成像的干扰。应理解,在本申请实施例中,滤光层的滤光波段可以为任意光波段,该波段范围可以根据实际的成像需求设定,本申请实施例对此不做限定。Optionally, the filter layer 227 may be a color filter unit. For example, the filter layer 227 includes three color filter units for transmitting red light signals, blue light signals, and green light signals, respectively. One color filter unit corresponds to at least one micro lens and at least one pixel unit. Optionally, the filter layer 227 can also be a filter used to filter visible light and block non-visible light, which can reduce the interference of infrared bands in the environment to optical imaging. It should be understood that, in the embodiment of the present application, the filter wavelength band of the filter layer can be any light waveband, and the wavelength range can be set according to actual imaging requirements, which is not limited in the embodiment of the present application.
继续参见图11,可选地,在微透镜阵列226的上方,还设置有光传输层228,该光传输层228可以为空气或者为透明介质材料,该透明介质材料可以为玻璃、树脂或者其它无机透明材料。Continuing to refer to FIG. 11, optionally, above the microlens array 226, a light transmission layer 228 is also provided. The light transmission layer 228 may be air or a transparent medium material, and the transparent medium material may be glass, resin or other materials. Inorganic transparent material.
此处需要说明的是,若光传输层228为透明介质材料,则该材料的透射率不同于微透镜阵列226的透射率,以免影响微透镜阵列226的聚光效果。It should be noted here that if the light transmission layer 228 is a transparent medium material, the transmittance of the material is different from the transmittance of the microlens array 226, so as not to affect the light condensing effect of the microlens array 226.
可选地,在光传输层228的上方,还设置有透明盖板229,该透明盖板229可以为玻璃或者其它透明介质材料。若光传输层228为空气,则需要通过支撑装置,例如支架,框胶等等,将该透明盖板229设置在微透镜阵列226的上方,该支撑装置同样设置在第二晶片220的外围电路区域。Optionally, a transparent cover 229 is further provided above the light transmission layer 228, and the transparent cover 229 may be glass or other transparent medium materials. If the light transmission layer 228 is air, the transparent cover 229 needs to be placed above the microlens array 226 through a supporting device, such as a bracket, a sealant, etc., and the supporting device is also placed on the peripheral circuit of the second chip 220 area.
图12示出了上述第二晶片220的另一种截面示意图。FIG. 12 shows another schematic cross-sectional view of the second wafer 220 described above.
如图12所示,第二晶片220除了包括上述图11中的结构外,第二晶片220还包括第二介质层2202,该第二介质层2202形成于上述第一介质层2201的下方,可选地,该第二介质层2201的材料可以与上述第一介质层2201的材料相同,例如,其可以为硅、陶瓷、玻璃等衬底材料,也可以为其它具有一定机械强度的介质材料,以提高第二晶片220以及整个堆叠芯片20的机械强度。As shown in FIG. 12, in addition to the structure shown in FIG. 11, the second wafer 220 also includes a second dielectric layer 2202. The second dielectric layer 2202 is formed under the first dielectric layer 2201. Optionally, the material of the second dielectric layer 2201 may be the same as the material of the above-mentioned first dielectric layer 2201, for example, it may be a substrate material such as silicon, ceramic, glass, etc., or may be other dielectric materials with a certain mechanical strength. In order to improve the mechanical strength of the second wafer 220 and the entire stacked chip 20.
在本申请实施例中,该第二介质层2202的厚度较薄,例如,10μm左右,其可以与第一介质层2201共同提高第二晶片220的机械强度。进一步地,该第二介质层2202的下表面不低于上述焊球2223的最低点,不影响焊球2223连接第一晶片210上第一焊盘的焊接效果,也可以减小第二晶片220和第一晶片210焊接后的堆叠式芯片20的整体厚度。In the embodiment of the present application, the thickness of the second dielectric layer 2202 is relatively thin, for example, about 10 μm, which can increase the mechanical strength of the second wafer 220 together with the first dielectric layer 2201. Further, the lower surface of the second dielectric layer 2202 is not lower than the lowest point of the solder ball 2223, which does not affect the soldering effect of the solder ball 2223 connecting the first pad on the first chip 210, and can also reduce the second chip 220 The overall thickness of the stacked chip 20 after being soldered to the first wafer 210.
此外,该第二介质层2202不覆盖上述第一介质层2201的开孔区域,在一种实施方式中,该第二介质层2202可以仅位于上述像素阵列的下方区域,而可以不位于上述外围电路的下方区域。In addition, the second dielectric layer 2202 does not cover the open area of the first dielectric layer 2201. In one embodiment, the second dielectric layer 2202 may be located only in the lower area of the pixel array, and may not be located in the outer periphery. The lower area of the circuit.
图13示出了上述第二晶片220的第三种截面示意图。FIG. 13 shows a third schematic cross-sectional view of the second wafer 220 described above.
如图13所示,第二晶片220中除了包括:多个像素单元221、第二金属线路层222、微透镜阵列226、滤光层227、光传输层228以及透明盖板229 以外,第二晶片220同样也包括第二介质层2202,该第二介质层2202形成于上述第一介质层2201的下方,并覆盖第一介质层2201的下表面。As shown in FIG. 13, the second chip 220 includes a plurality of pixel units 221, a second metal circuit layer 222, a microlens array 226, a filter layer 227, a light transmission layer 228, and a transparent cover plate 229. The wafer 220 also includes a second dielectric layer 2202. The second dielectric layer 2202 is formed under the first dielectric layer 2201 and covers the lower surface of the first dielectric layer 2201.
在本申请实施例中,第二焊盘2221不是形成于第二金属线路层222中,而是形成于第二介质层2202的下表面。同样的,该第二焊盘2221形成于第二介质层2202下表面的四周区域,换言之,形成于图10中第二晶片220的外围电路的区域中。In the embodiment of the present application, the second pad 2221 is not formed in the second metal circuit layer 222, but is formed on the lower surface of the second dielectric layer 2202. Similarly, the second pad 2221 is formed in the surrounding area of the lower surface of the second dielectric layer 2202, in other words, is formed in the area of the peripheral circuit of the second chip 220 in FIG. 10.
具体地,该第二焊盘2221通过通孔互连结构2224连接至第二金属线路层222。具体地,通孔互连结构是一项高密度封装技术,通过制作垂直的通孔,并在通孔中填充多晶硅、铜、钨等导电物质,利用通孔完成第二金属线路层和第二焊盘之间的互连,通孔技术可以通过垂直互连减小互联长度,减小信号延迟,降低电容/电感,实现低功耗,高速通讯,增加宽带和实现器件集成的小型化。Specifically, the second pad 2221 is connected to the second metal wiring layer 222 through the via interconnection structure 2224. Specifically, the through-hole interconnection structure is a high-density packaging technology. By making vertical through holes and filling the through holes with conductive materials such as polysilicon, copper, tungsten, etc., the second metal circuit layer and the second metal circuit layer are completed by the through holes. For the interconnection between pads, through-hole technology can reduce interconnection length, signal delay, capacitance/inductance, low power consumption, high-speed communication, increase broadband, and miniaturization of device integration through vertical interconnection.
应理解,在本申请中,通孔互连结构除了硅通孔(Through Silicon Via,TSV)互连结构外,还可以为其它材料的互连结构,例如穿塑通孔(Through Mold Via,TMV)互连结构、玻璃通孔(Through Glass Via,TGV)互连结构、氮化镓通孔互连结构、树脂通孔互连结构等等,本申请实施例对具体的通孔互连结构材料不做限定。It should be understood that, in this application, the through-hole interconnection structure can be an interconnection structure of other materials in addition to the Through Silicon Via (TSV) interconnection structure, such as Through Mold Via (TMV). ) Interconnection structure, Through Glass Via (TGV) interconnection structure, Gallium Nitride through-hole interconnection structure, resin through-hole interconnection structure, etc. The embodiments of the present application are related to specific through-hole interconnection structure materials Not limited.
具体地,在第二焊盘2221下方设置焊球2223,用于连接第二焊盘2221以及第一晶片210上的第一焊盘。Specifically, a solder ball 2223 is provided under the second pad 2221 for connecting the second pad 2221 and the first pad on the first chip 210.
上述图11至图13示出了三种BSI结构的第二晶片,可选地,第二晶片220也可以为正照式(Front-side Illuminated,FSI)互补金属氧化物半导体(CMOS)结构,其中,第二晶片220中的像素阵列位于第二金属线路层的下方,FSI结构的第二晶片制备过程简单,能够降低加工成本。The foregoing FIGS. 11 to 13 show the second wafer with three BSI structures. Optionally, the second wafer 220 may also be a front-side illuminated (FSI) complementary metal oxide semiconductor (CMOS) structure, where The pixel array in the second wafer 220 is located below the second metal circuit layer, and the preparation process of the second wafer of the FSI structure is simple, which can reduce the processing cost.
图14示出了一种FSI结构的第二晶片的截面示意图。FIG. 14 shows a schematic cross-sectional view of a second wafer of an FSI structure.
如图14所示,在该第二晶片220中,第二金属线路层222形成于第一介质层2201中,像素阵列中的多个像素单元221形成于第二介质层2202中。As shown in FIG. 14, in the second wafer 220, the second metal circuit layer 222 is formed in the first dielectric layer 2201, and a plurality of pixel units 221 in the pixel array are formed in the second dielectric layer 2202.
进一步地,滤光层227和微透镜阵列228形成于第二金属线路层222的上方,该滤光层227和微透镜阵列228的相关技术方案可以参见上述图11中的相关描述,此处不再赘述。Further, the filter layer 227 and the microlens array 228 are formed above the second metal circuit layer 222, and the related technical solutions of the filter layer 227 and the microlens array 228 can be referred to the related description in FIG. 11 above. Go into details again.
可选地,在本申请实施例中,也可以在微透镜阵列228上方设置光传输层228和透明盖板229,其中,光传输层228可以为空气或者其它透明介质。Optionally, in the embodiment of the present application, a light transmission layer 228 and a transparent cover 229 may also be provided above the microlens array 228, where the light transmission layer 228 may be air or other transparent media.
由于第二金属线路层222设置于多个像素单元221的上方,因此,第二金属线路层222距离第二介质层2202的下表面距离较远,无法直接与第二金属线路层222中形成的第二焊盘2221电连接。Since the second metal circuit layer 222 is disposed above the plurality of pixel units 221, the second metal circuit layer 222 is far away from the lower surface of the second dielectric layer 2202 and cannot be directly formed in the second metal circuit layer 222. The second pad 2221 is electrically connected.
如图14所示,本申请实施例中,在第二焊盘2221下方设置有通孔互连结构2224,该通孔互连结构2224连接于第二焊盘2221和第二晶片的下表面,进一步地,在通孔互连结构2224下方设置焊球2223,用于连接第一晶片210上的第一焊盘。As shown in FIG. 14, in the embodiment of the present application, a via interconnection structure 2224 is provided under the second pad 2221, and the via interconnection structure 2224 is connected to the second pad 2221 and the lower surface of the second chip. Further, solder balls 2223 are provided under the through-hole interconnection structure 2224 for connecting to the first pad on the first chip 210.
同样的,在本申请实施例中,该第二焊盘2221形成于第二金属介质层222的四周区域,换言之,形成于图10中第二晶片220的外围电路的区域中。上述第二焊盘2221、通孔互连结构2224以及焊球2223的技术方案可以参考上述图13中的相关描述,此处不再赘述。Similarly, in the embodiment of the present application, the second pad 2221 is formed in the surrounding area of the second metal dielectric layer 222, in other words, is formed in the area of the peripheral circuit of the second chip 220 in FIG. 10. For the technical solutions of the second pad 2221, the through-hole interconnection structure 2224, and the solder ball 2223, reference may be made to the related description in FIG. 13, and details are not described herein again.
上文结合图10至图14,说明了C2W堆叠工艺下,堆叠式芯片20中第二晶片220的结构,下面,结合图15至图18,说明该C2W堆叠工艺下,堆叠式芯片20中第一晶片210、载体单元220以及再布线层214的结构。10 to 14 illustrate the structure of the second wafer 220 in the stacked chip 20 in the C2W stacking process. Below, in conjunction with FIG. 15 to FIG. 18, it will be described that in the C2W stacking process, the first wafer in the stacked chip 20 is described. A structure of a wafer 210, a carrier unit 220, and a rewiring layer 214.
具体地,在图7至图9的基础上,通过再布线层214形成第一晶片210的第一焊盘,即为C2W堆叠工艺下,堆叠式芯片20中第一晶片210的结构。Specifically, on the basis of FIGS. 7 to 9, the first pad of the first wafer 210 is formed by the rewiring layer 214, that is, the structure of the first wafer 210 in the stacked chip 20 under the C2W stacking process.
如图15和图16所示,载体单元200为衬底或者塑封料,再布线层214形成于载体单元200的上方,至少一个第一焊盘216形成于再布线层214的上方。As shown in FIGS. 15 and 16, the carrier unit 200 is a substrate or a molding compound, the rewiring layer 214 is formed above the carrier unit 200, and at least one first pad 216 is formed above the rewiring layer 214.
具体地,载体单元200与再布线层214之间形成有第三介质层212,该第三介质层212为绝缘材料,用于连接载体单元200和再布线层214。具体地,在该第三介质层212中,形成有开孔,该开孔中填充有金属介质,该金属介质连接再布线层214以及第一晶片210的焊盘213。Specifically, a third dielectric layer 212 is formed between the carrier unit 200 and the rewiring layer 214. The third dielectric layer 212 is an insulating material for connecting the carrier unit 200 and the rewiring layer 214. Specifically, an opening is formed in the third dielectric layer 212, and the opening is filled with a metal dielectric, and the metal dielectric connects the rewiring layer 214 and the pad 213 of the first wafer 210.
可选地,该第一晶片210与载体单元200中第一凹槽201之间的空隙也可以填充有上述第三介质层212,以将第一晶片210进一步稳定的固定在第一凹槽201中。该第三介质层212包括但不限于是高分子有机材料,例如干膜(Dry Film)材料或者其它流动性较好的高分子材料。在本申请实施例中,该第三介质层212可以为一种可以光刻的干膜材料,在真空及加热的条件下可以无空洞的填充与第一晶片210与第一凹槽201之间,且采用可以光刻的材料作为第三介质层,在对第一凹槽与第一晶片之间的空隙进行填充固定的同时,还可以便于工艺加工,节省芯片的制造时间。Optionally, the gap between the first wafer 210 and the first groove 201 in the carrier unit 200 may also be filled with the above-mentioned third dielectric layer 212 to further stably fix the first wafer 210 in the first groove 201 middle. The third dielectric layer 212 includes, but is not limited to, a polymer organic material, such as a dry film (Dry Film) material or other polymer materials with good fluidity. In the embodiment of the present application, the third dielectric layer 212 can be a dry film material that can be photoetched, and can fill the gap between the first wafer 210 and the first groove 201 without cavities under vacuum and heating conditions. , And the use of photolithographic materials as the third dielectric layer, while filling and fixing the gap between the first groove and the first wafer, it can also facilitate the process and save the manufacturing time of the chip.
再布线层214与至少一个第一焊盘216之间形成有第四介质层215,同样的,该第四介质层215也为绝缘材料,用于连接至少一个第一焊盘216和再布线层214。具体地,在该第四介质层215中,形成有至少一个开孔,开孔中填充有金属介质,该金属介质连接再布线层214以及至少一个第一焊盘216。A fourth dielectric layer 215 is formed between the rewiring layer 214 and the at least one first pad 216. Similarly, the fourth dielectric layer 215 is also an insulating material for connecting the at least one first pad 216 and the rewiring layer. 214. Specifically, at least one opening is formed in the fourth dielectric layer 215, and the opening is filled with a metal dielectric, and the metal dielectric connects the rewiring layer 214 and the at least one first pad 216.
可选地,如图15和图16所示,在第一晶片上方除了至少一个第一焊盘216以外,还形成有用于与除第二晶片以外的其它电学元器件电连接的至少一个特定焊盘217,例如,与PCB板或者其它类型的基板,进行电连接,其中,该PCB板或者其它类型的基板可以为堆叠式芯片所在的电子设备的基板,也可以为电子设备中其它电学元件的基板。在本申请实施例中,该至少一个特定焊盘217可以通过引线键合(Wire Bonding,WB)方式连接至PCB板。具体地,在一些实施方式中,至少一个特定焊盘217设置于至少一个第一焊盘216的外围,换言之,特定焊盘217距离第四介质层215边缘的距离大于第一焊盘216距离第四介质层215边缘的距离。Optionally, as shown in FIGS. 15 and 16, in addition to the at least one first pad 216 above the first wafer, at least one specific solder for electrical connection with other electrical components except the second wafer is also formed. The disk 217 is, for example, electrically connected to a PCB board or other types of substrates, where the PCB board or other types of substrates can be the substrates of the electronic device where the stacked chips are located, or can be the components of other electrical components in the electronic device. Substrate. In the embodiment of the present application, the at least one specific pad 217 may be connected to the PCB board by wire bonding (WB). Specifically, in some embodiments, at least one specific pad 217 is disposed on the periphery of at least one first pad 216. In other words, the distance between the specific pad 217 and the edge of the fourth dielectric layer 215 is greater than the distance between the first pad 216 and the first pad 216. The distance between the edges of the four dielectric layers 215.
在本申请实施例中,堆叠式芯片20通过特定焊盘以及引线与PCB板连接,不同于球栅阵列(Ball Grid Array,BGA)封装方式,在堆叠式芯片20的表面形成球栅阵列,采用该封装方式,堆叠式芯片的热量传导至球栅阵列上,会形成多个热点,影响该堆叠式芯片的性能。而采用本申请实施例的WB封装方式,则不会造成该热点问题,提高堆叠式芯片的可靠性,使得堆叠式芯片的应用场景更为广泛。In the embodiment of the present application, the stacked chip 20 is connected to the PCB board through specific pads and leads, which is different from a ball grid array (Ball Grid Array, BGA) packaging method. A ball grid array is formed on the surface of the stacked chip 20. In this packaging method, the heat of the stacked chip is conducted to the ball grid array, and multiple hot spots are formed, which affects the performance of the stacked chip. However, adopting the WB packaging method of the embodiment of the present application does not cause this hot spot problem, improves the reliability of the stacked chip, and makes the application scenarios of the stacked chip more extensive.
可选地,在图15和图16的申请实施例中,载体单元200的下表面也可以设置上述特定焊盘217,并形成通孔互连结构连接再布线层214和该特定焊盘217,进一步地,在该焊盘上设置焊球,用于与其它电学元器件,例如PCB板或者其它类型的基板,又或者其他类型的晶片,进行电连接。Optionally, in the application embodiments of FIG. 15 and FIG. 16, the above-mentioned specific pad 217 may also be provided on the lower surface of the carrier unit 200, and a through-hole interconnection structure is formed to connect the rewiring layer 214 and the specific pad 217, Further, solder balls are provided on the pads for electrical connection with other electrical components, such as PCB boards or other types of substrates, or other types of chips.
如图17所示,载体单元200为电路板,再布线层214形成于载体单元200内部,至少一个第一焊盘216形成于载体单元200的上表面,并通过再布线层214中的互连结构连接至第一晶片210自身的焊盘213上。As shown in FIG. 17, the carrier unit 200 is a circuit board, the rewiring layer 214 is formed inside the carrier unit 200, and at least one first pad 216 is formed on the upper surface of the carrier unit 200 and is interconnected through the rewiring layer 214. The structure is connected to the pad 213 of the first wafer 210 itself.
可选地,在本申请实施例中,至少一个第一焊盘216形成于第四介质层215上表面的四周区域,或者形成于载体单元200上表面的四周区域。Optionally, in the embodiment of the present application, at least one first pad 216 is formed in a peripheral area of the upper surface of the fourth dielectric layer 215, or formed in a peripheral area of the upper surface of the carrier unit 200.
上述第一焊盘216的数量可以大于等于上文中第二晶片220的第二焊盘2221的数量。若第一焊盘216的数量等于第二焊盘2221的数量,则一个第 一焊盘216和一个第二焊盘2221一一对应,利用第二焊盘2221上的焊球2223进行电连接。若第一焊盘216的数量大于第二焊盘2221的数量,则除了与第二焊盘连接第一焊盘外,其它第一焊盘可以通过引线键合方式连接至其它电学元器件。The number of the first pads 216 may be greater than or equal to the number of the second pads 2221 of the second wafer 220 described above. If the number of the first pads 216 is equal to the number of the second pads 2221, then a first pad 216 and a second pad 2221 are in one-to-one correspondence, and the solder balls 2223 on the second pad 2221 are used for electrical connection. If the number of the first pads 216 is greater than the number of the second pads 2221, in addition to the first pads connected to the second pads, the other first pads can be connected to other electrical components by wire bonding.
由于第一晶片210可以为逻辑晶片或者存储晶片,或者其它进行数据处理的晶片,例如,该第一晶片210为图像传感芯片中的逻辑晶片,可以用于进行多个像素单元产生的图像数据的处理。该第一晶片210在进行数据处理的运行过程中,会产生大量的热量,会影响第一晶片210以及堆叠式芯片20整体的性能。此外,若第一晶片210上方的第二晶片220为对温度敏感的像素晶片,第一晶片210产生的大量热量也会影响第二晶片220的运行,进一步恶化堆叠式芯片20的整体性能。Since the first wafer 210 can be a logic wafer or a storage wafer, or other wafers for data processing, for example, the first wafer 210 is a logic wafer in an image sensor chip, which can be used for image data generated by multiple pixel units. Processing. During the data processing operation of the first wafer 210, a large amount of heat will be generated, which will affect the overall performance of the first wafer 210 and the stacked chip 20. In addition, if the second wafer 220 above the first wafer 210 is a temperature-sensitive pixel wafer, the large amount of heat generated by the first wafer 210 will also affect the operation of the second wafer 220, further deteriorating the overall performance of the stacked chip 20.
可选地,为了提高第一晶片210的散热能力,如图14至图17所示,在第四介质层215的上表面或者载体单元200的上表面设置有第一导热金属层203,有利于第一晶片210的散热。Optionally, in order to improve the heat dissipation capability of the first wafer 210, as shown in FIGS. 14 to 17, a first thermally conductive metal layer 203 is provided on the upper surface of the fourth dielectric layer 215 or the upper surface of the carrier unit 200, which is beneficial to Heat dissipation of the first chip 210.
具体地,如图15和图16所示,该第一导热金属层203位于第四介质层215的上表面的中心区域,图17中第一导热金属层203位于载体单元200的上表面的中心区域。Specifically, as shown in FIGS. 15 and 16, the first thermally conductive metal layer 203 is located at the center area of the upper surface of the fourth dielectric layer 215, and the first thermally conductive metal layer 203 is located at the center of the upper surface of the carrier unit 200 in FIG. area.
该第一导热金属层203的面积可以大于等于第二晶片220中像素阵列的面积,换言之,像素阵列在第一导热金属层203所在平面上的投影完全位于第一导热金属层203之中,此时,第二晶片220受第一晶片210的热量影响较小。当然,该第一导热金属层203的面积也可以小于第二晶片220中像素阵列的面积,本申请实施例对第一导热金属层203的面积不做具体限定。The area of the first thermally conductive metal layer 203 may be greater than or equal to the area of the pixel array in the second wafer 220. In other words, the projection of the pixel array on the plane where the first thermally conductive metal layer 203 is located is completely in the first thermally conductive metal layer 203. At this time, the second wafer 220 is less affected by the heat of the first wafer 210. Of course, the area of the first thermally conductive metal layer 203 may also be smaller than the area of the pixel array in the second wafer 220, and the embodiment of the present application does not specifically limit the area of the first thermally conductive metal layer 203.
可选地,该第一导热金属层203可以与第一焊盘216位于同一水平面上,两者可以为相同的金属材料,在制备过程中,采用一个工艺制程即可制备该第一导热金属层203和第一焊盘216。Optionally, the first thermally conductive metal layer 203 and the first pad 216 may be located on the same horizontal plane, and the two may be the same metal material. In the preparation process, the first thermally conductive metal layer can be prepared by using one process. 203 and the first pad 216.
此外,如图15至图17所示,除了设置第一导热金属层203对第一晶片产生的热量进行散热外,在第一晶片上方还设置有至少一个特定焊盘217,该至少一个特定焊盘217通过引线与基板连接,也可以将第一晶片210运行时产生的热量通过引线传导至基板上,从而提高第一晶片210的散热能力。In addition, as shown in FIG. 15 to FIG. 17, in addition to providing the first thermally conductive metal layer 203 to dissipate the heat generated by the first chip, at least one specific pad 217 is also provided above the first chip. The disk 217 is connected to the substrate through leads, and the heat generated during the operation of the first wafer 210 can also be conducted to the substrate through the leads, thereby improving the heat dissipation capability of the first wafer 210.
除了上述实施方式以外,为了进一步提高第一晶片210的散热能力,在一种可能的实施方式中,可以将第一晶片210的下表面与空气接触,此时, 如前文所述,该载体单元200中的第一容置结构201设置为通孔。In addition to the foregoing embodiments, in order to further improve the heat dissipation capability of the first wafer 210, in a possible implementation manner, the lower surface of the first wafer 210 may be in contact with air. At this time, as described above, the carrier unit The first accommodating structure 201 in 200 is configured as a through hole.
在另一种可能的实施方式中,在载体单元200中的第一容置结构201为凹槽时,以图18为例,说明提高第一晶片210散热能力的结构。In another possible implementation manner, when the first accommodating structure 201 in the carrier unit 200 is a groove, taking FIG. 18 as an example, a structure for improving the heat dissipation capacity of the first wafer 210 is described.
如图18所示,载体单元200为电路板或者塑封基板,当然,该载体单元200也可以为上述衬底或者塑封料,对应的方案可以参考下文描述。As shown in FIG. 18, the carrier unit 200 is a circuit board or a plastic-encapsulated substrate. Of course, the carrier unit 200 may also be the aforementioned substrate or a plastic-encapsulated material, and the corresponding solution can be referred to the following description.
具体地,在第一晶片210的底部设置第二导热金属层204,并在载体单元200的下表面设置第三导热金属层205,通过互连结构将第二导热金属层204和第三导热金属层205连接,将第一晶片210的热量通过第二导热金属层204和互连结构引导至与空气接触的第三导热金属层205,从而提高第一晶片210的散热能力。Specifically, a second thermally conductive metal layer 204 is provided on the bottom of the first wafer 210, and a third thermally conductive metal layer 205 is provided on the lower surface of the carrier unit 200, and the second thermally conductive metal layer 204 and the third thermally conductive metal layer are connected through the interconnection structure. The layers 205 are connected to guide the heat of the first wafer 210 through the second thermally conductive metal layer 204 and the interconnection structure to the third thermally conductive metal layer 205 in contact with the air, thereby improving the heat dissipation capability of the first wafer 210.
可选地,该第三导热金属层205可以完全覆盖载体单元200的下表面,以最大化第一晶片210的散热,也可以仅覆盖载体单元200的部分表面,本申请实施例对此不做限定。Optionally, the third thermally conductive metal layer 205 may completely cover the lower surface of the carrier unit 200 to maximize the heat dissipation of the first wafer 210, or may only cover a part of the surface of the carrier unit 200, which is not done in this embodiment of the application. limited.
可选地,载体单元200可以与第二晶片220的形状大小相同,载体单元200与第二晶片220在垂直方向上的投影完全重合。Optionally, the carrier unit 200 may have the same shape and size as the second wafer 220, and the projections of the carrier unit 200 and the second wafer 220 in the vertical direction completely coincide.
可选地,载体单元200可以与第二晶片220的形状大小不同,具体地,第二晶片220的表面面积小于载体单元200的表面面积,该第二晶片220在载体单元200上的投影位于载体单元200中。Optionally, the carrier unit 200 may have a different shape and size from the second wafer 220. Specifically, the surface area of the second wafer 220 is smaller than the surface area of the carrier unit 200, and the projection of the second wafer 220 on the carrier unit 200 is located on the carrier. In unit 200.
在该情况下,图19示出了一种堆叠式芯片20的结构示意图。In this case, FIG. 19 shows a schematic structural diagram of a stacked chip 20.
如图19所示,该堆叠式芯片20中,第二晶片为图11中的第二晶片220,第一晶片、载体单元为图16中的第一晶片210以及载体单元200。As shown in FIG. 19, in the stacked chip 20, the second wafer is the second wafer 220 in FIG. 11, and the first wafer and carrier unit are the first wafer 210 and the carrier unit 200 in FIG. 16.
在本申请实施例中,第二晶片220的第二焊盘2221及焊球2223设置于第一晶片210的第一焊盘216上方。特定焊盘217位于第一焊盘216的外围,该第二晶片220在载体单元200上的投影不覆盖特定焊盘217所在的区域,该特定焊盘217位于第二晶片220在垂直方向的投影之外。In the embodiment of the present application, the second pad 2221 and the solder ball 2223 of the second chip 220 are disposed above the first pad 216 of the first chip 210. The specific pad 217 is located on the periphery of the first pad 216, the projection of the second chip 220 on the carrier unit 200 does not cover the area where the specific pad 217 is located, and the specific pad 217 is located on the vertical projection of the second chip 220 Outside.
应理解,该堆叠式芯片20中的第二晶片可以为图11至图13中任一种第二晶片220,第一晶片和载体单元可以为图14至图16中任一种第一晶片210和载体单元200。换言之,图11中的第二晶片220可以与图14至图16中任一种情况组合形成一种堆叠式芯片,图12和图13中的第二晶片220也可以与图14至图16中任一种情况组合形成一种堆叠式芯片,即上述申请实施例中,共给出了9种堆叠式芯片20的具体结构。It should be understood that the second wafer in the stacked chip 20 may be any of the second wafers 220 in FIGS. 11 to 13, and the first wafer and the carrier unit may be any of the first wafers 210 in FIGS. 14 to 16 And carrier unit 200. In other words, the second wafer 220 in FIG. 11 can be combined with any of the situations in FIGS. 14 to 16 to form a stacked chip, and the second wafer 220 in FIGS. 12 and 13 can also be combined with those in FIGS. 14 to 16. Any combination of conditions forms a stacked chip, that is, in the above-mentioned application embodiment, a total of 9 specific structures of the stacked chip 20 are given.
图20示出了本申请实施例的另一种堆叠式芯片20的分体结构示意图。FIG. 20 shows a schematic diagram of a separate structure of another stacked chip 20 according to an embodiment of the present application.
如图20所示,该堆叠式芯片20还包括:As shown in FIG. 20, the stacked chip 20 further includes:
第三晶片230,该第三晶片230设置在上述载体单元200的第二容置结构202中,该第二容置结构202为凹槽或者通孔。The third wafer 230 is disposed in the second accommodating structure 202 of the carrier unit 200, and the second accommodating structure 202 is a groove or a through hole.
可选地,上述第二晶片220堆叠在该第三晶片230上方,该第二晶片220的面积大于该第三晶片230。Optionally, the second wafer 220 described above is stacked on the third wafer 230, and the area of the second wafer 220 is larger than that of the third wafer 230.
具体地,该第三晶片230为片状结构,因此,厚度较小。该第三晶片230的表面面积也为第一晶片210的上表面面积或者下表面面积。Specifically, the third wafer 230 has a sheet-like structure and therefore has a small thickness. The surface area of the third wafer 230 is also the upper surface area or the lower surface area of the first wafer 210.
在一种可能的实施方式中,该第二晶片220的表面面积大于第一晶片210的表面面积与第三晶片230的表面面积之和。例如,第一晶片210以及第三晶片230完全位于第二晶片220在垂直方向的投影中。In a possible implementation, the surface area of the second wafer 220 is greater than the sum of the surface area of the first wafer 210 and the surface area of the third wafer 230. For example, the first wafer 210 and the third wafer 230 are completely located in the projection of the second wafer 220 in the vertical direction.
可选地,第三晶片230可以完全位于载体单元200的内部,第三晶片230的上表面不高于载体单元200的上表面。Optionally, the third wafer 230 may be completely located inside the carrier unit 200, and the upper surface of the third wafer 230 is not higher than the upper surface of the carrier unit 200.
具体地,若第二容置结构202为凹槽,在一种实施方式中,该第二容置结构202可以位于载体单元200的内部,即凹槽和第三晶片230完全设置于载体单元200的内部,第三晶片230低于载体单元200的上表面。在另一种实施方式中,该第二容置结构202还可以位于载体单元200的上表面,此时,第三晶片230的上表面可以与载体单元200的上表面位于同一水平面上,当然,若第二容置结构202的高度大于第三晶片230的厚度,则第三晶片230的上表面也可以低于载体单元200的。Specifically, if the second accommodating structure 202 is a groove, in one embodiment, the second accommodating structure 202 may be located inside the carrier unit 200, that is, the groove and the third wafer 230 are completely disposed in the carrier unit 200 The third wafer 230 is lower than the upper surface of the carrier unit 200. In another embodiment, the second accommodating structure 202 may also be located on the upper surface of the carrier unit 200. At this time, the upper surface of the third wafer 230 and the upper surface of the carrier unit 200 may be on the same level. Of course, If the height of the second accommodating structure 202 is greater than the thickness of the third wafer 230, the upper surface of the third wafer 230 may also be lower than that of the carrier unit 200.
若第二容置结构202为通孔,第三晶片230设置于该通孔中,该第三晶片230的四个侧面与通孔孔壁固定连接,可选地,若载体单元200为塑封料,则第三晶片230直接固定在该载体单元200的通孔中,若载体单元200为电路板或者衬底,则第三晶片230可以通过胶层或者其它固定装置固定连接在通孔中。可选地,此时,第三晶片230的上表面不高于载体单元200的上表面,且第三晶片230的下表面不低于载体单元200的下表面。If the second accommodating structure 202 is a through hole, the third chip 230 is disposed in the through hole, and the four sides of the third chip 230 are fixedly connected to the walls of the through hole. Optionally, if the carrier unit 200 is a plastic package material , The third chip 230 is directly fixed in the through hole of the carrier unit 200. If the carrier unit 200 is a circuit board or a substrate, the third chip 230 can be fixedly connected in the through hole by an adhesive layer or other fixing devices. Optionally, at this time, the upper surface of the third wafer 230 is not higher than the upper surface of the carrier unit 200, and the lower surface of the third wafer 230 is not lower than the lower surface of the carrier unit 200.
在第二容置结构202为通孔的情况下,第三晶片230的下表面与空气接触,有利于第三晶片230的散热,能够提高第三晶片230和整个堆叠式芯片20的可靠性和整体性能。When the second accommodating structure 202 is a through hole, the lower surface of the third wafer 230 is in contact with the air, which is beneficial to the heat dissipation of the third wafer 230, and can improve the reliability and reliability of the third wafer 230 and the entire stacked chip 20. Overall performance.
下文以第二容置结构202为凹槽为例,说明堆叠式芯片20的整体结构,除了特殊说明以外,第二容置结构202为通孔的情况可以参见下文的说明。 下文中,若第二容置结构202为凹槽,该第二容置结构202也写为第二凹槽202,若第二容置结构202为通孔,该第二容置结构202也写为第二通孔202。Hereinafter, taking the second accommodating structure 202 as a groove as an example to illustrate the overall structure of the stacked chip 20, unless otherwise specified, the case where the second accommodating structure 202 is a through hole can be referred to the following description. Hereinafter, if the second accommodating structure 202 is a groove, the second accommodating structure 202 is also written as the second groove 202, and if the second accommodating structure 202 is a through hole, the second accommodating structure 202 is also written It is the second through hole 202.
可选地,该载体单元200中的第二凹槽202的形状大小可以与第三晶片230的形状大小相同或者略大于该第三晶片230。例如,该第三晶片230为薄片结构,该第二凹槽202的深度与该第三晶片230的厚度相同或者略大于该第三晶片230的厚度,该第二凹槽202的长度和宽度也分别略大于该第三晶片230的长度和宽度,使得第二凹槽202可以完全将该第三晶片230容纳其中。可选地,该第二凹槽202的长宽深分别比第三晶片230的长宽高大25μm,或者其它任意数值,本申请实施例对此不做限定。Optionally, the shape and size of the second groove 202 in the carrier unit 200 may be the same as the shape and size of the third wafer 230 or slightly larger than the third wafer 230. For example, the third wafer 230 has a sheet structure, the depth of the second groove 202 is the same as the thickness of the third wafer 230 or slightly larger than the thickness of the third wafer 230, and the length and width of the second groove 202 are also They are slightly larger than the length and width of the third wafer 230 respectively, so that the second groove 202 can completely accommodate the third wafer 230 therein. Optionally, the length, width, and depth of the second groove 202 are larger than the length, width, and height of the third wafer 230 by 25 μm, or any other value, which is not limited in the embodiment of the present application.
可选地,在本申请实施例中,该第三晶片230可以用于实现与上述第一晶片210和第二晶片220不同的电路功能,例如,若该堆叠式芯片20为一种图像传感芯片,第一晶片210可以为上述图1中的像素晶片101,第二晶片220和第三晶片230分别可以为上述图1中的逻辑晶片102与内存晶片103。Optionally, in the embodiment of the present application, the third chip 230 may be used to implement circuit functions different from those of the first chip 210 and the second chip 220. For example, if the stacked chip 20 is an image sensor For the chip, the first chip 210 may be the pixel chip 101 in FIG. 1 described above, and the second chip 220 and the third chip 230 may be the logic chip 102 and the memory chip 103 in FIG. 1 described above, respectively.
应理解,该堆叠式芯片20还可以为多种其它不同领域中的芯片,例如存储芯片、处理芯片等等,其中的第一晶片、第二晶片和第三晶片为实现对应电路功能的功能晶片,且第一晶片、第二晶片以及第三晶片的电路功能不同。It should be understood that the stacked chip 20 may also be a chip in a variety of other different fields, such as a memory chip, a processing chip, etc., wherein the first chip, the second chip, and the third chip are functional chips that implement corresponding circuit functions. And the circuit functions of the first chip, the second chip, and the third chip are different.
在本申请实施例中,通过将第一晶片210以及第三晶片230均设置在载体单元200的凹槽中,在实现将大面积的第二晶片220堆叠在第一晶片210以及第三晶片230的上方的同时,能够在晶圆上生长尽可能多的第一晶片210以及第三晶片230,减少制造成本。此外,还能够充分利用堆叠芯片中的空间,将第二晶片220堆叠在第一晶片210和第三晶片230的上方,而不需要将三个晶片依次键合,从而进一步降低了工艺成本,也减小了堆叠芯片的体积。第三,还可以在进行键合前,对单颗的第一晶片210以及单颗的第三晶片230进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高整体芯片的良率,进一步降低整体的制造成本。In the embodiment of the present application, by arranging the first wafer 210 and the third wafer 230 in the groove of the carrier unit 200, the large area of the second wafer 220 is stacked on the first wafer 210 and the third wafer 230. At the same time, as many first wafers 210 and third wafers 230 as possible can be grown on the wafer, reducing manufacturing costs. In addition, the space in the stacked chips can be fully utilized to stack the second wafer 220 on top of the first wafer 210 and the third wafer 230 without the need to bond the three wafers in sequence, thereby further reducing the process cost and also Reduce the volume of stacked chips. Third, it is also possible to test a single first wafer 210 and a single third wafer 230 before bonding to screen out wafers with good performance, remove the wafers with poor performance, and improve the overall chip yield. , To further reduce the overall manufacturing cost.
可选地,第三晶片230除了为实现电路功能的晶片外,还可以为伪芯片(Dummy Die),即不用于实现电路功能,仅为具有一定机械强度的衬底或者其它材料的片状物体。此时,第三晶片230可以平衡加工过程造成的机械应力,减小载体单元200的翘曲,提高堆叠式芯片整体的机械性能。Optionally, the third chip 230 may be a dummy chip (Dummy die) in addition to a circuit function chip, that is, it is not used to realize a circuit function, and is only a substrate with a certain mechanical strength or a sheet-like object of other materials. . At this time, the third wafer 230 can balance the mechanical stress caused by the processing process, reduce the warpage of the carrier unit 200, and improve the overall mechanical performance of the stacked chip.
应当理解的是,若第三晶片230为伪芯片,则载体单元200上方的再布线层214不需要与该第三晶片230电连接,该第三晶片230也不需要与第一晶片210以及第二晶片220电连接。It should be understood that if the third chip 230 is a dummy chip, the rewiring layer 214 above the carrier unit 200 does not need to be electrically connected to the third chip 230, and the third chip 230 does not need to be electrically connected to the first chip 210 and the second chip. The two chips 220 are electrically connected.
可选地,第一晶片210和第三晶片230上方设置有再布线层214,该再布线层214除了用于连接第一晶片210的IO端口,并对第一晶片210的IO端口进行重新布局外,还用于连接第三晶片230的IO端口,并对第三晶片230的IO端口进行重新布局。Optionally, a rewiring layer 214 is provided above the first chip 210 and the third chip 230. The rewiring layer 214 is used to connect the IO ports of the first chip 210 and re-lay out the IO ports of the first chip 210. In addition, it is also used to connect the IO ports of the third chip 230 and re-layout the IO ports of the third chip 230.
可选地,第三晶片230、第一晶片210与第二晶片220之间也可以通过晶圆级键合实现堆叠,或者通过焊盘连接实现堆叠。Optionally, the third chip 230, the first chip 210, and the second chip 220 may also be stacked by wafer-level bonding, or stacked by bonding pads.
图21至图23示出了焊盘连接方式,或者说C2W堆叠方式下,载体单元200、第一晶片210、第三晶片230以及再布线层214的三种截面示意图。FIGS. 21 to 23 show three schematic cross-sectional views of the carrier unit 200, the first wafer 210, the third wafer 230, and the rewiring layer 214 in the pad connection mode, or the C2W stacking mode.
如图21所示,载体单元200可以为衬底,该衬底中设置第一凹槽201和第二凹槽202(图中未示出),第一晶片210和第三晶片230分别设置于该第一凹槽201和第二凹槽202中。该第三晶片230通过第三胶层231在第二凹槽202的底部,以将第三晶片230稳定固定于第二凹槽中202。该胶层包括但不限于DAF薄膜。可选地,在一种可能的实施方式中,当该第三胶层231的厚度为d1,第三晶片230的高度为d2,第三晶片230和第三胶层231的厚度之和d1+d2小于等于第二凹槽202的深度d0,换言之,第三晶片230的上表面不高载体单元的上表面。可选地,该d1+d2与d0之差可以在2~5μm之间,也可以为其它数值,本申请实施例对此不做限定。可选地,在另一种可能的实施方式中,第三晶片230的上表面也可以高于载体单元的上表面。As shown in FIG. 21, the carrier unit 200 may be a substrate in which a first groove 201 and a second groove 202 (not shown in the figure) are arranged, and the first wafer 210 and the third wafer 230 are respectively arranged on The first groove 201 and the second groove 202 are located. The third wafer 230 is at the bottom of the second groove 202 through the third adhesive layer 231 to stably fix the third wafer 230 in the second groove 202. The adhesive layer includes but is not limited to DAF film. Optionally, in a possible implementation, when the thickness of the third adhesive layer 231 is d1 and the height of the third wafer 230 is d2, the sum of the thicknesses of the third wafer 230 and the third adhesive layer 231 is d1+ d2 is less than or equal to the depth d0 of the second groove 202, in other words, the upper surface of the third wafer 230 is not higher than the upper surface of the carrier unit. Optionally, the difference between d1+d2 and d0 may be between 2 μm and 5 μm, or may be other values, which is not limited in the embodiment of the present application. Optionally, in another possible implementation manner, the upper surface of the third wafer 230 may also be higher than the upper surface of the carrier unit.
可选地,该第三晶片230与第二凹槽202之间的空隙也可以填充有上述第三介质层212,以将第三晶片230进一步稳定的固定在第二凹槽202中。Optionally, the gap between the third wafer 230 and the second groove 202 can also be filled with the aforementioned third dielectric layer 212 to further stably fix the third wafer 230 in the second groove 202.
可选地,如图21所示,第三晶片230中包括第三金属线路层233,该第三金属线路层233位于第三晶片230的表面,具体为第三晶片230的IO端口,用于与其他电学元器件,例如与第二晶片220和第一晶片210进行电连接。此外,上述第三介质层212还可以覆盖于该载体单元200的上表面以及第三晶片230上表面中除第三金属线路层233外的部分区域。Optionally, as shown in FIG. 21, the third chip 230 includes a third metal circuit layer 233, which is located on the surface of the third chip 230, specifically an IO port of the third chip 230, for It is electrically connected with other electrical components, such as the second wafer 220 and the first wafer 210. In addition, the above-mentioned third dielectric layer 212 may also cover the upper surface of the carrier unit 200 and a part of the upper surface of the third wafer 230 except for the third metal circuit layer 233.
如图22所示,载体单元200可以为塑封料,则其具体可以为EMC材料,本申请实施例对此也不做具体限定。As shown in FIG. 22, the carrier unit 200 may be a plastic packaging material, and it may specifically be an EMC material, which is not specifically limited in the embodiment of the present application.
在本申请实施例中,第三晶片230被塑封料包裹并固定,不需要额外的 填充材料或者胶层对第三晶片230进行固定。可选地,在一些实施方式中,第三晶片230的上表面没有被塑封料包裹,而其它的五个平面被塑封料。在另一些实施方式中,第三晶片230的六个表面也可以全部被塑封料包裹。In the embodiment of the present application, the third chip 230 is wrapped and fixed by a plastic encapsulant, and no additional filling material or glue layer is required to fix the third chip 230. Optionally, in some embodiments, the upper surface of the third wafer 230 is not wrapped by molding compound, and the other five planes are covered by molding compound. In other embodiments, all six surfaces of the third wafer 230 may also be wrapped by a plastic molding compound.
如图21和图22所示,再布线层214设置在载体单元200和第三晶片230的上方,该再布线层214同样为金属走线层,其与第三晶片230表面的第三金属线路层233接触,形成二者的电连接关系。As shown in FIGS. 21 and 22, the rewiring layer 214 is disposed above the carrier unit 200 and the third wafer 230. The rewiring layer 214 is also a metal wiring layer, which is connected to the third metal circuit on the surface of the third wafer 230. The layer 233 is in contact to form an electrical connection between the two.
可选地,在本申请实施例中,再布线层214还用于连接第三晶片230的第三金属线路层233和第一晶片210的第一金属线路层213。Optionally, in the embodiment of the present application, the rewiring layer 214 is also used to connect the third metal circuit layer 233 of the third wafer 230 and the first metal circuit layer 213 of the first wafer 210.
如图21和图22所示,至少一个第一焊盘216形成于再布线层214的上方。该至少一个焊盘216的相关技术方案参见图15和图16的相关描述,此处不再赘述。As shown in FIGS. 21 and 22, at least one first pad 216 is formed above the rewiring layer 214. For the related technical solutions of the at least one bonding pad 216, refer to the related descriptions of FIG. 15 and FIG. 16, which will not be repeated here.
可选地,在图21和图22的申请实施例中,载体单元200的下表面也可以设置焊盘,并形成通孔互连结构连接再布线层214和该焊盘,进一步地,在该焊盘上设置焊球,用于与其它电学元器件,例如PCB板或者其它类型的基板,进行电连接。Optionally, in the application embodiment of FIG. 21 and FIG. 22, a pad may also be provided on the lower surface of the carrier unit 200, and a through-hole interconnection structure may be formed to connect the rewiring layer 214 and the pad. Further, in the Soldering balls are provided on the pads for electrical connection with other electrical components, such as PCB boards or other types of substrates.
如图23所示,载体单元200还可以为电路板,该电路板由绝缘材料制成,其中设置有多层金属层,该多层金属层可以为铜金属或者其它金属材料,用于传导电信号,该多层金属层之间可以通过互连结构进行连接,以实现多层金属层之间的电信号传递。As shown in FIG. 23, the carrier unit 200 may also be a circuit board, which is made of an insulating material, and is provided with multiple metal layers. The multiple metal layers may be copper metal or other metal materials for conducting electricity. Signals, the multi-layer metal layers can be connected through an interconnection structure to realize electrical signal transmission between the multi-layer metal layers.
在本申请实施例中,第三晶片230可以完全设置于电路板内部,其六个表面均被电路板的绝缘材料包裹,电路板中的再布线层214连接至第三晶片230的IO端口,实现对第三晶片230的IO端口的重布局。In the embodiment of the present application, the third chip 230 can be completely disposed inside the circuit board, and its six surfaces are all wrapped by the insulating material of the circuit board, and the rewiring layer 214 in the circuit board is connected to the IO port of the third chip 230. The re-layout of the IO ports of the third chip 230 is realized.
如图23所示,至少一个第一焊盘216形成于载体单元200的上方。该至少一个焊盘216的相关技术方案参见图17的相关描述,此处不再赘述。As shown in FIG. 23, at least one first pad 216 is formed above the carrier unit 200. For the related technical solutions of the at least one pad 216, refer to the related description of FIG. 17, and will not be repeated here.
可选地,在图21至图23的方案中,再布线层214上方还形成有至少一个第三焊盘218,该至少一个第三焊盘218用于通过再布线层214连接至第三晶片230。Optionally, in the solutions of FIGS. 21 to 23, at least one third pad 218 is further formed above the rewiring layer 214, and the at least one third pad 218 is used to connect to the third chip through the rewiring layer 214 230.
可选地,如图21至图23所示,至少一个特定焊盘217设置于第三焊盘218的外围,换言之,特定焊盘217距离第四介质层215边缘的距离大于第一焊盘216距离第四介质层215边缘的距离。Optionally, as shown in FIGS. 21 to 23, at least one specific pad 217 is provided on the periphery of the third pad 218, in other words, the distance between the specific pad 217 and the edge of the fourth dielectric layer 215 is greater than that of the first pad 216 The distance from the edge of the fourth dielectric layer 215.
应理解,若第三晶片230为伪芯片,则可以不设置该第三焊盘218,图 21至图23中的第三焊盘218的位置可以设置为第一焊盘216。It should be understood that if the third wafer 230 is a dummy chip, the third pad 218 may not be provided, and the position of the third pad 218 in FIGS. 21 to 23 may be set as the first pad 216.
同样的,为了解决第三晶片230的散热问题,如图21至图23所示,第一导热金属层203覆盖于第三晶片230和第一晶片210上方。Similarly, in order to solve the heat dissipation problem of the third chip 230, as shown in FIGS. 21 to 23, the first thermally conductive metal layer 203 covers the third chip 230 and the first chip 210.
可选地,在本申请实施例中,为了进一步提高第三晶片230的散热能力,也可以将第三晶片230的下表面与空气接触,此时,如前文所述,该载体单元200中的第二容置结构202设置为通孔。Optionally, in the embodiment of the present application, in order to further improve the heat dissipation capability of the third chip 230, the lower surface of the third chip 230 may also be in contact with air. At this time, as described above, the carrier unit 200 The second accommodating structure 202 is configured as a through hole.
在另一种可能的实施方式中,在载体单元200中的第一容置结构201为凹槽时,在第三晶片230的底部也设置导热金属层,通过互连结构将该导热金属层和载体单元200下表面的第三导热金属层205连接,从而提高第三晶片230的散热能力。In another possible implementation manner, when the first accommodating structure 201 in the carrier unit 200 is a groove, a thermally conductive metal layer is also provided on the bottom of the third wafer 230, and the thermally conductive metal layer is connected to the bottom of the third wafer 230 through the interconnection structure. The third thermally conductive metal layer 205 on the lower surface of the carrier unit 200 is connected, thereby improving the heat dissipation capability of the third chip 230.
图24示出了一种堆叠式芯片20的结构示意图。该堆叠式芯片20为图像传感芯片。FIG. 24 shows a schematic structural diagram of a stacked chip 20. The stacked chip 20 is an image sensor chip.
如图24所示,该堆叠式芯片20中,第二晶片为图11中的第二晶片220,第一晶片、第三晶片以及载体单元为图22中的晶片结构。As shown in FIG. 24, in the stacked chip 20, the second wafer is the second wafer 220 in FIG. 11, and the first wafer, the third wafer, and the carrier unit are the wafer structure in FIG. 22.
应理解,该堆叠式芯片20中的第二晶片可以为图11至图13中任一种第二晶片220,第一晶片、第三晶片和载体单元可以为图21至图23中任一种晶片结构。换言之,图11中的第二晶片220可以与图21至图22中任一种情况组合形成一种堆叠式芯片,图12和图13中的第二晶片220也可以与图21至图23中任一种情况组合形成一种堆叠式芯片,即上述申请实施例中,又给出了9种堆叠式芯片20的具体结构。It should be understood that the second wafer in the stacked chip 20 may be any of the second wafers 220 in FIGS. 11 to 13, and the first wafer, the third wafer, and the carrier unit may be any of in FIGS. 21 to 23 Wafer structure. In other words, the second wafer 220 in FIG. 11 can be combined with any one of the cases in FIGS. 21 to 22 to form a stacked chip, and the second wafer 220 in FIGS. 12 and 13 can also be combined with those in FIGS. 21 to 23. Any combination of conditions forms a stacked chip, that is, in the above-mentioned application embodiment, nine specific structures of the stacked chip 20 are given.
可选地,在本申请实施例中,第一晶片220的下表面设置有至少一个第二焊盘和至少一个第四焊盘,该至少一个第二焊盘用于与载体单元上方的至少一个第一焊盘电连接,该至少一个第四焊盘用于与载体单元上方的至少一个第三焊盘电连接。Optionally, in the embodiment of the present application, the lower surface of the first wafer 220 is provided with at least one second pad and at least one fourth pad, and the at least one second pad is used to interact with at least one above the carrier unit. The first pad is electrically connected, and the at least one fourth pad is used for electrically connecting with the at least one third pad above the carrier unit.
具体地,该第四焊盘的形成过程与第二焊盘相同,均为第二目标晶片的第二金属线路层中的焊盘,区别仅在于第四焊盘与第三晶片的第三焊盘连接,而第二焊盘与第一晶片的第一焊盘连接,该第二焊盘和第四焊盘可以用于输出相同的电信号。Specifically, the formation process of the fourth bonding pad is the same as that of the second bonding pad, and both are bonding pads in the second metal circuit layer of the second target chip. The second pad is connected to the first pad of the first wafer, and the second pad and the fourth pad can be used to output the same electrical signal.
上文结合图6至图24,详细描述了本申请的堆叠式芯片的装置实施例,下文结合图25至图20,详细描述本申请的堆叠式芯片的制造方法的实施例,应理解,装置实施例与方法实施例相互对应,类似的描述可以参照装置实施 例。6 to 24, the device embodiment of the stacked chip of the present application is described in detail above, and the following describes the embodiment of the method of manufacturing the stacked chip of the present application in detail with reference to Figs. 25 to 20. It should be understood that the device The embodiment and the method embodiment correspond to each other, and the device embodiment can be referred to for similar description.
图25为一种堆叠式芯片的制造方法的示意性流程框图,该制造方法为基于C2W的堆叠方式,形成的一种堆叠式芯片。FIG. 25 is a schematic flow chart of a method for manufacturing a stacked chip. The manufacturing method is a stacked chip based on a C2W stacking method.
如图25所示,该堆叠式芯片的制造方法200可以包括以下步骤。As shown in FIG. 25, the manufacturing method 200 of the stacked chip may include the following steps.
S210:从第一晶圆上分割出多个第一晶片。S210: Divide a plurality of first wafers from the first wafer.
具体地,在第一晶圆上制备出多个第一晶片,该第一晶圆可以为硅晶圆等等不同材料的晶圆。Specifically, a plurality of first wafers are prepared on the first wafer, and the first wafers may be wafers of different materials such as silicon wafers.
可选地,该多个第一晶片中的每个晶片可以与上述装置实施例中的第一晶片210相同。Optionally, each of the plurality of first wafers may be the same as the first wafer 210 in the foregoing device embodiment.
若第一晶片为逻辑晶片或者存储晶片,或者为其他领域中的芯片,其制造方法以及在晶圆上的切割方法可以参考现有技术中的相关描述,此处不再赘述。If the first chip is a logic chip or a memory chip, or a chip in other fields, the manufacturing method and the cutting method on the wafer can be referred to related descriptions in the prior art, which will not be repeated here.
S220:将多个第一晶片封装在载体中,该载体包括再布线层,该再布线层与多个第一晶片中的第一目标晶片电连接。S220: Package a plurality of first chips in a carrier, the carrier includes a rewiring layer, and the rewiring layer is electrically connected to the first target chip among the plurality of first chips.
可选地,该载体可以包括衬底晶圆、塑封料、封装基板或者电路板中的任意一种。Optionally, the carrier may include any one of a substrate wafer, a molding compound, a packaging substrate, or a circuit board.
在一种可能的实施方式中,该载体包括衬底晶圆,在衬底晶圆上制作多个第一容置结构,该第一容置结构为凹槽或通孔。将该多个第一晶片固定在该多个第一容置结构中,该多个第一晶片的上表面不高于该衬底晶圆的上表面。In a possible embodiment, the carrier includes a substrate wafer, and a plurality of first accommodating structures are fabricated on the substrate wafer, and the first accommodating structures are grooves or through holes. The plurality of first chips are fixed in the plurality of first accommodating structures, and the upper surface of the plurality of first chips is not higher than the upper surface of the substrate wafer.
具体地,若第一容置结构为凹槽,在该衬底晶圆上制备多个第一凹槽后,通过取放(Pick and Place)工艺将多个第一晶片放入多个第一凹槽中。Specifically, if the first accommodating structure is a groove, after preparing a plurality of first grooves on the substrate wafer, the plurality of first wafers are placed in a plurality of first wafers through a pick and place process. In the groove.
可选地,在本申请实施例中,可以通过多种工艺方法在该衬底晶圆上制备得到多个第一凹槽,该工艺方法包括但不限于:干法刻蚀(Dry Etching)、激光法、机械法等等。本申请实施例对此不做具体限定。Optionally, in the embodiment of the present application, a plurality of first grooves may be prepared on the substrate wafer through a variety of process methods, including but not limited to: dry etching, Laser method, mechanical method, etc. The embodiments of the present application do not specifically limit this.
在衬底晶圆上制备得到多个第一凹槽后,可以采用标准的取放工艺将多个第一晶片放置在多个第一凹槽中。其中,第一晶片的下表面设置有第一胶层,该第一胶层包括但不限于DAF。After the multiple first grooves are prepared on the substrate wafer, the multiple first wafers can be placed in the multiple first grooves by using a standard pick-and-place process. Wherein, the lower surface of the first wafer is provided with a first glue layer, and the first glue layer includes but is not limited to DAF.
进一步地,将多个第一晶片放置在多个第一凹槽后,将第三介质层填充在多个第一晶片与多个第一凹槽之间的空隙以及载体单元的上表面,以进一步固定该多个第一晶片。Further, after placing the plurality of first wafers in the plurality of first grooves, a third dielectric layer is filled in the gaps between the plurality of first wafers and the plurality of first grooves and the upper surface of the carrier unit to The plurality of first wafers are further fixed.
可选地,上文中的第一凹槽、第一晶片以及第三介质层等相关技术方案可以参见图7或者图15的相关描述。Optionally, the above-mentioned related technical solutions such as the first groove, the first wafer, and the third dielectric layer can refer to the related description of FIG. 7 or FIG. 15.
具体地,若第一容置结构为通孔,可以通过胶层将该多个第一晶片固定于多个第一通孔中,此时,可以提高多个第一晶片的散热能力。Specifically, if the first accommodating structure is a through hole, the plurality of first wafers can be fixed in the plurality of first through holes through an adhesive layer. In this case, the heat dissipation capability of the plurality of first wafers can be improved.
将多个第一晶片放置在多个第一容置结构后,在多个第一晶片中的第一目标晶片上方制备再布线层,其中,该第一目标晶片可以为多个第一晶片中的任意一个晶片。After placing the plurality of first wafers in the plurality of first accommodating structures, a rewiring layer is prepared on the first target wafer among the plurality of first wafers, where the first target wafer may be in the plurality of first wafers Any one of the chips.
具体地,可以采用半导体工艺,例如曝光、显影、刻蚀等工艺在第三介质层上进行开窗,以露出第一目标晶片上表面中第一金属线路层。Specifically, a semiconductor process, such as exposure, development, and etching, can be used to open a window on the third dielectric layer to expose the first metal circuit layer on the upper surface of the first target wafer.
在本工艺步骤中,可以同时露出多个第一晶片中每个第一晶片上表面的第一金属线路层。In this process step, the first metal circuit layer on the upper surface of each of the plurality of first wafers can be exposed at the same time.
然后,采用种子层沉积、光刻、电镀等工艺在第一目标晶片上方的第三介质层的表面制备该第一目标晶片的再布线层。其中,该再布线层与第一目标晶片的第一金属线路层接触以形成电连接关系。Then, the rewiring layer of the first target wafer is prepared on the surface of the third dielectric layer above the first target wafer by using processes such as seed layer deposition, photolithography, and electroplating. Wherein, the rewiring layer is in contact with the first metal circuit layer of the first target wafer to form an electrical connection relationship.
在本工艺步骤中,也可以同时制备多个第一晶片中每个第一晶片的再布线层,不同的第一晶片的再布线层不相互连接。In this process step, the rewiring layer of each of the multiple first wafers can also be prepared at the same time, and the rewiring layers of different first wafers are not connected to each other.
可选地,上文中的第一目标晶片的再布线层的相关技术方案也可以参见图7或者图15中再布线层214的相关描述。Optionally, the above-mentioned related technical solutions of the rewiring layer of the first target wafer can also refer to the related description of the rewiring layer 214 in FIG. 7 or FIG. 15.
在本申请实施例中,衬底晶圆可以为硅、玻璃、陶瓷或者其它任意材料,本申请实施例对此不做限定。在一种可能的实施方式中,该衬底晶圆为单晶硅晶圆。In the embodiment of the present application, the substrate wafer may be silicon, glass, ceramic or any other material, which is not limited in the embodiment of the present application. In a possible embodiment, the substrate wafer is a single crystal silicon wafer.
在另一种可能的实施方式中,该载体还包括塑封料,将该多个第一晶片封装在该塑封料中,其中,该多个第一晶片的上表面与空气接触,且该多个第一晶片的上表面不高于该塑封料的上表面。In another possible embodiment, the carrier further includes a molding compound, and the plurality of first chips are encapsulated in the molding compound, wherein the upper surfaces of the plurality of first chips are in contact with air, and the plurality of The upper surface of the first wafer is not higher than the upper surface of the molding compound.
可选地,该塑封料具体可以为环氧树脂模塑料,或者现有技术中其它用于晶片封装的有机或者无机材料,本申请实施例对此不做具体限定。Optionally, the molding compound may specifically be epoxy resin molding compound, or other organic or inorganic materials used for chip packaging in the prior art, which are not specifically limited in the embodiment of the present application.
在本申请实施方式中,多个第一晶片被塑封料包裹并固定,不需要额外的填充材料或者胶层对多个第一晶片进行固定。可选地,在一些实施方式中,多个第一晶片的上表面没有被塑封料包裹,而其它的五个平面被塑封料。在另一些实施方式中,多个第一晶片的六个表面也可以全部被塑封料包裹。In the embodiment of the present application, the plurality of first wafers are wrapped and fixed by the molding compound, and no additional filling material or glue layer is required to fix the plurality of first wafers. Optionally, in some embodiments, the upper surfaces of the plurality of first wafers are not wrapped by the molding compound, and the other five planes are covered by the molding compound. In other embodiments, all six surfaces of the plurality of first wafers may also be wrapped by a plastic molding compound.
进一步地,在多个第一晶片以及塑封料上方制备第三介质层,具体地, 可以采用半导体工艺,例如曝光、显影、刻蚀等工艺在第三介质层上进行开窗,以露出第一目标晶片上表面中第一金属线路层。Further, a third dielectric layer is prepared on the plurality of first wafers and the molding compound. Specifically, semiconductor processes, such as exposure, development, and etching, may be used to open windows on the third dielectric layer to expose the first dielectric layer. The first metal circuit layer on the upper surface of the target wafer.
然后,采用种子层沉积、光刻、电镀等工艺在第一目标晶片上方的第三介质层的表面制备该第一目标晶片的再布线层。其中,该再布线层与第一目标晶片的第一金属线路层接触以形成电连接关系。Then, the rewiring layer of the first target wafer is prepared on the surface of the third dielectric layer above the first target wafer by using processes such as seed layer deposition, photolithography, and electroplating. Wherein, the rewiring layer is in contact with the first metal circuit layer of the first target wafer to form an electrical connection relationship.
可选地,上文中的再布线层和第一目标晶片的相关技术方案也可以参见图8或者图16中的相关描述。Optionally, the above-mentioned related technical solutions of the rewiring layer and the first target wafer can also refer to the related description in FIG. 8 or FIG. 16.
在第三种可能的实施方式中,该载体还包括封装基板,将该多个第一晶片封装在该封装基板内部,在该封装基板中制备该再布线层,其中,该再布线层包括多层水平设置的金属线路层以及多个垂直设置的互连结构。In a third possible implementation manner, the carrier further includes a packaging substrate, the plurality of first chips are packaged inside the packaging substrate, and the rewiring layer is prepared in the packaging substrate, wherein the rewiring layer includes multiple A metal circuit layer arranged horizontally and a plurality of interconnection structures arranged vertically.
可选地,该封装基板还可以其它类型的电路板,例如PCB板等等,将该多个第一晶片封装于封装基板的技术方案可以参见现有技术中的相关描述,此处不再赘述。Optionally, the packaging substrate can also be other types of circuit boards, such as PCB boards, etc. The technical solution for packaging the multiple first chips on the packaging substrate can be referred to related descriptions in the prior art, which will not be repeated here. .
S230:在该再布线层上方制备第一焊盘,第一焊盘通过再布线层与第一目标晶片电连接。S230: Prepare a first pad above the rewiring layer, and the first pad is electrically connected to the first target wafer through the rewiring layer.
在本步骤中,在再布线层上制备第一焊盘的过程可以参见现有技术中的焊盘制备技术。本步骤后形成的第一目标晶片、再布线层以及第一焊盘的结构形态可以参见图15至图17,本步骤中相关的技术方案也可以参见以上描述,此处不再赘述。In this step, the process of preparing the first pad on the rewiring layer can refer to the pad preparation technology in the prior art. The structure of the first target wafer, the rewiring layer, and the first pad formed after this step can be seen in FIG. 15 to FIG. 17, and the related technical solutions in this step can also be seen in the above description, and will not be repeated here.
S240:在第二晶圆上制备多个第二晶片,并从第二晶圆上分割出多个第二晶片中的第二目标晶片,第二目标晶片包括第二焊盘。S240: Prepare a plurality of second wafers on the second wafer, and separate the second target wafers of the plurality of second wafers from the second wafer, the second target wafers including second pads.
可选地,在第二晶圆上制备出多个第二晶片,并对第二晶圆进行切割,其中,该第二晶圆可以为硅晶圆等等不同材料的晶圆。Optionally, a plurality of second wafers are prepared on the second wafer, and the second wafers are diced, where the second wafers may be wafers of different materials such as silicon wafers.
可选地,该第二目标晶片可以为多个第二晶片中任意一个晶片,其具体可以为经过检测后合格的晶片。Optionally, the second target wafer may be any one of a plurality of second wafers, and it may specifically be a wafer that has passed inspection.
可选地,该第二目标晶片可以与上述装置实施例中的第二晶片220相同。Optionally, the second target wafer may be the same as the second wafer 220 in the foregoing device embodiment.
该第二晶片中设置有第二焊盘,该第二焊盘为第二晶片的IO端口,可以用于传输第二晶片的电信号。The second chip is provided with a second pad. The second pad is an IO port of the second chip and can be used to transmit electrical signals of the second chip.
S250:焊接第一焊盘与第二焊盘,以电连接第一目标晶片和第二目标晶片。S250: Weld the first pad and the second pad to electrically connect the first target chip and the second target chip.
具体地,上述焊接第一焊盘和第二焊盘可以采用现有技术中的焊接技 术,例如,通过焊球等点连接装置焊接第一焊盘和第二焊盘。Specifically, the above-mentioned welding of the first pad and the second pad may use a welding technique in the prior art, for example, the first pad and the second pad are welded by a point connecting device such as a solder ball.
S260:将电连接后的第一目标晶片与第二目标晶片的整体进行切割,以得到一个堆叠式芯片,其中,第二目标晶片的表面面积大于第一目标晶片的表面面积。S260: Cutting the electrically connected whole of the first target wafer and the second target wafer to obtain a stacked chip, wherein the surface area of the second target wafer is larger than the surface area of the first target wafer.
可选地,该堆叠式芯片为图像传感芯片,该第二目标晶片为像素晶片,该第二目标晶片包括像素阵列,用于接收光信号并转换为电信号;Optionally, the stacked chip is an image sensor chip, the second target wafer is a pixel wafer, and the second target wafer includes a pixel array for receiving optical signals and converting them into electrical signals;
该第一目标晶片为逻辑晶片,该第一目标晶片包括信号处理电路,用于处理该电信号。The first target chip is a logic chip, and the first target chip includes a signal processing circuit for processing the electrical signal.
下面,以第二目标晶片为像素晶片为例,说明第二目标晶片的制备过程。Hereinafter, taking the second target wafer as a pixel wafer as an example, the preparation process of the second target wafer is described.
可选地,上述步骤S240可以包括以下步骤。Optionally, the above step S240 may include the following steps.
S241:在该第二晶圆中制备该第二目标晶片的像素阵列,并在该第二晶圆的表面制备第一介质层和第二金属线路层,其中,该第二金属线路层形成于该第一介质层中,且该第二金属线路层电连接于该像素阵列。S241: Prepare a pixel array of the second target wafer in the second wafer, and prepare a first dielectric layer and a second metal circuit layer on the surface of the second wafer, wherein the second metal circuit layer is formed on The first dielectric layer and the second metal circuit layer are electrically connected to the pixel array.
具体地,可以通过掺杂等半导体工艺在第二晶圆中制备像素阵列,然后再像素阵列上方,即在第二晶圆表面通过沉积、光刻等半导体工艺在生长第一介质层和第二金属线路层。Specifically, the pixel array can be prepared in the second wafer through semiconductor processes such as doping, and then on the pixel array, that is, the first dielectric layer and the second dielectric layer are grown on the surface of the second wafer through semiconductor processes such as deposition and photolithography. Metal circuit layer.
可选地,该第一介质层可以为绝缘材料层,该绝缘介质层可以为氧化硅等绝缘材料,本申请实施例对该绝缘介质层的具体材料不做限定。可选地,该第二金属线路层可以为金、铜或者合金等材料,本申请实施例对该第二金属线路层的具体材料也不做限定。Optionally, the first dielectric layer may be an insulating material layer, and the insulating dielectric layer may be an insulating material such as silicon oxide. The specific material of the insulating dielectric layer is not limited in the embodiment of the present application. Optionally, the second metal circuit layer may be a material such as gold, copper, or alloy, and the embodiment of the present application does not limit the specific material of the second metal circuit layer.
可选地,该像素阵列、第一介质层和第二金属线路层可以为图11至图14中任一实施例中的像素阵列、第一介质层2201和第二金属线路层222,其相关技术方案可以参考以上描述。Optionally, the pixel array, the first dielectric layer and the second metal circuit layer may be the pixel array, the first dielectric layer 2201 and the second metal circuit layer 222 in any of the embodiments in FIG. 11 to FIG. The technical solution can refer to the above description.
S242:制备该第二焊盘,该第二焊盘形成于该第二金属线路层中;S242: preparing the second pad, and the second pad is formed in the second metal circuit layer;
可选地,该第二焊盘形成于该像素阵列在该第二金属线路层所在平面的投影之外。换言之,该第二焊盘形成于第二金属线路层的四周区域,而不位于中心区域,该中心区域上方设置有像素阵列。Optionally, the second pad is formed outside the projection of the pixel array on the plane where the second metal circuit layer is located. In other words, the second pad is formed in the surrounding area of the second metal circuit layer, not in the central area, and the pixel array is arranged above the central area.
S243:在该第二焊盘下方制备电连接装置。S243: Prepare an electrical connection device under the second bonding pad.
可选地,该电连接装置包括但不限于是焊球、铜柱等等,其可以为现有技术中任意一种实现电连接装置。Optionally, the electrical connection device includes but is not limited to solder balls, copper pillars, etc., which can be any electrical connection device in the prior art.
可选地,在第二焊盘下方制备凸块底层金属化层或者通孔互连结构。该 通孔互连结构包括但不限于是TSV。Optionally, a bottom bump metallization layer or a via interconnection structure is prepared under the second pad. The via interconnection structure includes but is not limited to TSV.
进一步地,在凸块底层金属化层或者通孔连接结构下方制备焊球或者铜柱。Further, solder balls or copper pillars are prepared under the metallization layer of the bottom layer of the bump or the through-hole connection structure.
在一种实施方式中,该第二目标晶片为背照式结构,在该情况下,如图26所示,上述步骤S241可包括以下步骤。In one embodiment, the second target wafer has a back-illuminated structure. In this case, as shown in FIG. 26, the above step S241 may include the following steps.
S2411:在该第二晶圆的下部中制备该像素阵列,该像素阵列靠近于该第二晶圆的下表面,在该第二晶圆的下表面制备该第一介质层和该第二金属线路层。S2411: preparing the pixel array in the lower part of the second wafer, the pixel array being close to the lower surface of the second wafer, and preparing the first dielectric layer and the second metal on the lower surface of the second wafer Line layer.
可选地,在制备过程中,可以理解为在第二晶圆的上部中制备该像素阵列,然后,在第二晶圆的上表面制备第一介质层和第二金属线路层,然后,将该第二晶圆倒置,形成背照式的像素晶片结构。Optionally, during the preparation process, it can be understood that the pixel array is prepared in the upper part of the second wafer, and then the first dielectric layer and the second metal circuit layer are prepared on the upper surface of the second wafer, and then the The second wafer is turned upside down to form a back-illuminated pixel wafer structure.
该步骤后,该第二目标晶片220的结构如图27所示,其中,像素阵列由多个像素单元221组成,其形成于第二晶圆的下表面,第二晶圆的下方制备有第一介质层2201,该第一介质层2201中形成有第二金属线路层222。此处需要注意的是,该第二金属线路层222与多个像素单元221具有电连接关系(图27中未示出)。After this step, the structure of the second target wafer 220 is shown in FIG. 27, wherein the pixel array is composed of a plurality of pixel units 221 formed on the lower surface of the second wafer, and the second wafer is prepared below the second wafer. A dielectric layer 2201, and a second metal circuit layer 222 is formed in the first dielectric layer 2201. It should be noted here that the second metal circuit layer 222 has an electrical connection relationship with a plurality of pixel units 221 (not shown in FIG. 27).
S2412:采用晶圆键合工艺键合将该第二晶圆键合在衬底晶圆上。S2412: Bonding the second wafer on the substrate wafer by using a wafer bonding process.
具体地,制备完成第一介质层和第二金属线路层后,对该第一介质层的下表面进行平坦化处理。可选地,对该第一介质层的下表面进行抛光处理,该抛光处理包括但不限于:化学机械抛光(Chemical-Mechanical Planarization,CMP)工艺的处理。Specifically, after the first dielectric layer and the second metal circuit layer are prepared, the lower surface of the first dielectric layer is planarized. Optionally, a polishing treatment is performed on the lower surface of the first dielectric layer, and the polishing treatment includes, but is not limited to, a chemical-mechanical polishing (Chemical-Mechanical Planarization, CMP) process.
可选地,在本申请实施例中,还对衬底晶圆的上表面进行平坦化处理,以形成一个光滑的表面。经过平坦化处理后,该衬底晶圆的上表面以及第一介质层的下表面的平坦度以及粗糙度均满足一定的阈值要求,才能够进行晶圆级的键合。Optionally, in the embodiment of the present application, the upper surface of the substrate wafer is also planarized to form a smooth surface. After the planarization process, the flatness and roughness of the upper surface of the substrate wafer and the lower surface of the first dielectric layer meet certain threshold requirements before wafer-level bonding can be performed.
具体地,将光滑的衬底晶圆的上表面以及第一介质层的下表面贴合在一起,然后经过高温退火,使得第二晶圆和绝缘介质层的键合力增强,提高晶圆之间的键合力,该键合方法也称为热键合法(Fusion Bonding)。Specifically, the upper surface of the smooth substrate wafer and the lower surface of the first dielectric layer are bonded together, and then subjected to high temperature annealing, so that the bonding force between the second wafer and the insulating dielectric layer is enhanced, and the gap between the wafers is improved. This bonding method is also called Fusion Bonding.
可选地,该第二晶圆与衬底晶圆的键合还可以采用其他的晶圆级键合方法,例如各类直接键合工艺,包括但不限于:阳极键合(Anodic Bonding)、表面活化键合(Surface Activated Bonding,SAB)等等,还有各类通过中间 层的间接键合工艺,包括但不限于:瞬态液相(Transient Liquid Phase,TLP)键合、热压键合(Thermal Compression Bonding)、粘接键合(Adhesive Bonding)等方法,本申请实施例对此不做具体限定。Optionally, the bonding of the second wafer and the substrate wafer may also adopt other wafer-level bonding methods, such as various direct bonding processes, including but not limited to: Anodic Bonding, Surface activated bonding (Surface Activated Bonding, SAB), etc., as well as various indirect bonding processes through the intermediate layer, including but not limited to: Transient Liquid Phase (TLP) bonding, thermocompression bonding Methods such as (Thermal Compression Bonding) and Adhesive Bonding (Adhesive Bonding) are not specifically limited in the embodiments of the present application.
该步骤后,该第二目标晶片220的结构如图28所示,其中,经过切割后,堆叠芯片中的衬底晶圆可以为上文装置实施例中的第二介质层2202。After this step, the structure of the second target wafer 220 is as shown in FIG. 28, wherein, after dicing, the substrate wafer in the stacked chip may be the second dielectric layer 2202 in the above device embodiment.
S2413:对该第二晶圆的上表面进行减薄处理,其中,该像素阵列接近于减薄处理后的该第二晶圆的上表面。S2413: Perform a thinning process on the upper surface of the second wafer, where the pixel array is close to the upper surface of the second wafer after the thinning process.
具体地,可以采用机械减薄、化学减薄、化学抛光、干法刻蚀等方法对第二晶圆的衬底材料的上表面进行减薄,本申请实施例对具体的减薄方法不做任何限定。在对第二晶圆进行减薄的过程中,衬底晶圆可以起到支撑的作用。Specifically, methods such as mechanical thinning, chemical thinning, chemical polishing, dry etching, etc. can be used to thin the upper surface of the substrate material of the second wafer. The specific thinning method is not described in the embodiment of this application. Any restrictions. In the process of thinning the second wafer, the substrate wafer can play a supporting role.
可选地,在本申请实施例中,第二目标晶片220为像素晶片,该步骤后,该第二目标晶片220的结构如图29所示,经过减薄后的第二晶圆上表面接近第二目标晶片中的多个像素单元221。Optionally, in the embodiment of the present application, the second target wafer 220 is a pixel wafer. After this step, the structure of the second target wafer 220 is as shown in FIG. 29. The upper surface of the thinned second wafer is close to A plurality of pixel units 221 in the second target wafer.
S2414:在该像素阵列的上方制备光学组件,该光学组件包括:滤光层和/或微透镜阵列。S2414: Prepare an optical component above the pixel array, the optical component including: a filter layer and/or a micro lens array.
具体地,在像素阵列上方生长滤光层以及微透镜阵列的步骤可以参见现有技术中的制备过程,该步骤后,该第二目标晶片220的结构如图30所示,该滤光层以及微透镜阵列可以参考上文装置实施例中的滤光层227和微透镜阵列226的相关描述。Specifically, the steps of growing the filter layer and the microlens array above the pixel array can be referred to the manufacturing process in the prior art. After this step, the structure of the second target wafer 220 is as shown in FIG. 30. The filter layer and the For the microlens array, reference may be made to the related description of the filter layer 227 and the microlens array 226 in the above device embodiment.
S2415:在该像素阵列的上方设置透明盖板作为支撑结构,对该衬底晶圆的下表面进行减薄处理。S2415: A transparent cover is provided as a support structure above the pixel array, and the lower surface of the substrate wafer is thinned.
具体地,在微透镜阵列上方设置透明盖板作为支撑结构,透明盖板与微透镜阵列之间为空气或者为透明介质材料。Specifically, a transparent cover is provided as a support structure above the microlens array, and the space between the transparent cover and the microlens array is air or a transparent medium material.
可选地,可以采用机械减薄、化学减薄、化学抛光、干法刻蚀等方法对衬底晶圆的衬底材料的下表面进行减薄,本申请实施例对具体的减薄方法不做任何限定。在对第二晶圆进行减薄的过程中,透明盖板晶圆可以起到支撑的作用。Optionally, methods such as mechanical thinning, chemical thinning, chemical polishing, dry etching, etc. can be used to thin the lower surface of the substrate material of the substrate wafer. Make any restrictions. In the process of thinning the second wafer, the transparent cover wafer can play a supporting role.
可选地,对该衬底晶圆的下表面进行减薄处理至该第二金属线路层接近于减薄处理后的该衬底晶圆的下表面,此时,衬底晶圆的厚度很小,例如,在10μm左右,其可以提高第二目标晶片的机械强度。Optionally, the lower surface of the substrate wafer is thinned until the second metal circuit layer is close to the lower surface of the substrate wafer after the thinning process. At this time, the thickness of the substrate wafer is very high. Small, for example, about 10 μm, which can improve the mechanical strength of the second target wafer.
该步骤后,该第二目标晶片220的结构如图31所示,在该图中,228可以为透明介质材料,即上文装置实施例中的光传输层。若该图中,228为空气,则透明盖板229与微透镜阵列226之间还应该设置支撑装置,以支撑透镜盖板悬空设置在微透镜阵列226的上方。After this step, the structure of the second target wafer 220 is shown in FIG. 31. In this figure, 228 may be a transparent medium material, that is, the light transmission layer in the above device embodiment. If 228 is air in the figure, a supporting device should be provided between the transparent cover 229 and the microlens array 226 to support the lens cover to be suspended above the microlens array 226.
继续参见图26,如图26所示,上述步骤S242还可以包括以下步骤。Continuing to refer to FIG. 26, as shown in FIG. 26, the above step S242 may further include the following steps.
S2421:对衬底晶圆的下表面进行刻蚀处理形成开孔,该开孔连接第二金属线路层,以在该第二金属线路层中形成第二焊盘。S2421: Perform an etching process on the lower surface of the substrate wafer to form an opening, and the opening is connected to the second metal circuit layer to form a second pad in the second metal circuit layer.
具体地,可以采用干法刻蚀或者湿法刻蚀对衬底晶圆的下表面进行刻蚀,形成开孔,以露出第二金属线路层中的第二焊盘。Specifically, dry etching or wet etching may be used to etch the lower surface of the substrate wafer to form openings to expose the second pad in the second metal circuit layer.
该步骤后,该第二目标晶片220的结构如图32所示,其中第二焊盘2221形成于第二金属线路层的四周区域。After this step, the structure of the second target wafer 220 is as shown in FIG. 32, in which the second pad 2221 is formed in the surrounding area of the second metal circuit layer.
进一步地,在该步骤后,执行步骤S243,在第二焊盘下方制备电连接装置。具体地,在第二焊盘下方制备凸块底层金属化UBM层,在该凸块底层金属化UBM层制备焊球或者铜柱。执行步骤S243之后,该第二目标晶片220的结构如图12所示。Further, after this step, step S243 is performed to prepare an electrical connection device under the second pad. Specifically, a bottom bump metallization UBM layer is prepared under the second pad, and solder balls or copper pillars are prepared on the bottom bump metallization UBM layer. After step S243 is executed, the structure of the second target wafer 220 is as shown in FIG. 12.
可选地,在步骤S2415中,也可以对该衬底晶圆的下表面进行减薄处理至完全去除该衬底晶圆。此时,可以进一步减小第二目标晶片的厚度。Optionally, in step S2415, the lower surface of the substrate wafer may also be thinned to completely remove the substrate wafer. At this time, the thickness of the second target wafer can be further reduced.
此时,如图26所示,上述步骤S242还可以包括以下步骤。At this time, as shown in FIG. 26, the above step S242 may further include the following steps.
S2422:对该第一介质层的下表面进行刻蚀处理形成开孔,该开孔连接该第二金属线路层,以在该第二金属线路层中形成该第二焊盘。S2422: Perform etching treatment on the lower surface of the first dielectric layer to form an opening, and the opening is connected to the second metal circuit layer to form the second pad in the second metal circuit layer.
进一步地,在该步骤后,执行步骤S243,在第二焊盘下方制备电连接装置。具体地,在第二焊盘下方制备凸块底层金属化UBM层,在该凸块底层金属化UBM层制备焊球或者铜柱。执行步骤S243之后,该第二目标晶片220的结构如图11所示。Further, after this step, step S243 is performed to prepare an electrical connection device under the second pad. Specifically, a bottom bump metallization UBM layer is prepared under the second pad, and solder balls or copper pillars are prepared on the bottom bump metallization UBM layer. After performing step S243, the structure of the second target wafer 220 is as shown in FIG. 11.
可选地,在上述步骤S2415中,还可以对该衬底晶圆的下表面进行小幅度减薄,或者不对该衬底晶圆的下表面进行减薄。Optionally, in the above step S2415, the lower surface of the substrate wafer may also be thinned slightly, or the lower surface of the substrate wafer may not be thinned.
在该步骤后,执行步骤S243,在第二焊盘下方制备电连接装置。具体地,在第二焊盘下方制备通孔互连结构,例如TSV,在该TSV下方制备焊球或者铜柱。执行步骤S243之后,该第二目标晶片220的结构如图13所示。After this step, step S243 is performed to prepare an electrical connection device under the second pad. Specifically, a through-hole interconnection structure, such as a TSV, is prepared under the second pad, and solder balls or copper pillars are prepared under the TSV. After performing step S243, the structure of the second target wafer 220 is as shown in FIG. 13.
可选地,在本实施方式中,若不对衬底晶圆的下表面进行减薄,该第二目标晶片220中可以不设置透明盖板229和光传输层228。Optionally, in this embodiment, if the lower surface of the substrate wafer is not thinned, the second target wafer 220 may not be provided with the transparent cover 229 and the light transmission layer 228.
在另一种实施方式中,该第二目标晶片为正照式结构,在该情况下,如图33所示,上述步骤S241可包括以下步骤。In another embodiment, the second target wafer has a front-illuminated structure. In this case, as shown in FIG. 33, the above step S241 may include the following steps.
S2416:在第二晶圆的上部中制备像素阵列,该像素阵列靠近于该第二晶圆的上表面,在该第二晶圆的上表面制备第一介质层和第二金属线路层。S2416: preparing a pixel array on the upper part of the second wafer, the pixel array being close to the upper surface of the second wafer, and preparing a first dielectric layer and a second metal circuit layer on the upper surface of the second wafer.
该步骤后,该第二目标晶片220的结构如图34所示,其中,像素阵列由多个像素单元221组成,其形成于第二晶圆的上表面,第二晶圆的上方制备有第一介质层2201,该第一介质层2201中形成有第二金属线路层222。此处需要注意的是,该第二金属线路层222与多个像素单元221具有电连接关系(图34中未示出)。After this step, the structure of the second target wafer 220 is shown in FIG. 34, where the pixel array is composed of a plurality of pixel units 221 formed on the upper surface of the second wafer, and the second wafer is prepared above the second wafer. A dielectric layer 2201, and a second metal circuit layer 222 is formed in the first dielectric layer 2201. It should be noted here that the second metal circuit layer 222 has an electrical connection relationship with a plurality of pixel units 221 (not shown in FIG. 34).
S2414:在像素阵列的上方制备光学组件,该光学组件包括:滤光层和/或微透镜阵列。S2414: Prepare an optical component above the pixel array, the optical component including: a filter layer and/or a microlens array.
该步骤后,该第二目标晶片220的结构如图35所示。After this step, the structure of the second target wafer 220 is shown in FIG. 35.
S2617:在像素阵列的上方设置透明盖板。S2617: A transparent cover plate is arranged above the pixel array.
可选地,该步骤可以参考步骤S2415的相关描述,该步骤后,该第二目标晶片220的结构如图36所示。Optionally, this step can refer to the related description of step S2415. After this step, the structure of the second target wafer 220 is as shown in FIG. 36.
上述步骤S242可包括以下步骤。The above step S242 may include the following steps.
S2423:对第二晶圆的下表面进行刻蚀处理形成开孔,该开孔连接第二金属线路层,以在该第二金属线路层中形成第二焊盘。S2423: Perform an etching process on the lower surface of the second wafer to form an opening, and the opening is connected to the second metal circuit layer to form a second pad in the second metal circuit layer.
该步骤后,该第二目标晶片220的结构如图37所示。After this step, the structure of the second target wafer 220 is as shown in FIG. 37.
进一步地,在该步骤后,执行步骤S243,在第二焊盘下方制备电连接装置。具体地,在第二焊盘下方制备通孔互连结构,例如TSV,在该TSV下方制备焊球或者铜柱。执行步骤S243之后,该第二目标晶片220的结构如图14所示。Further, after this step, step S243 is performed to prepare an electrical connection device under the second pad. Specifically, a through-hole interconnection structure, such as a TSV, is prepared under the second pad, and solder balls or copper pillars are prepared under the TSV. After step S243 is performed, the structure of the second target wafer 220 is as shown in FIG. 14.
图38为另一种堆叠式芯片的制造方法的示意性流程框图。Fig. 38 is a schematic flow chart of another method for manufacturing a stacked chip.
如图38所示,该堆叠式芯片的制造方法300包括:As shown in FIG. 38, the manufacturing method 300 of the stacked chip includes:
S310:从第一晶圆上分割出多个第一晶片S310: Divide a plurality of first wafers from the first wafer
S320:从第三晶圆上分割出多个第三晶片;S320: Divide a plurality of third wafers from the third wafer;
S330:将多个第一晶片和第多个三晶片封装在载体中,该载体包括再布线层,该再布线层与第一目标晶片和第三目标晶片电连接。S330: Package a plurality of first chips and a plurality of third chips in a carrier, the carrier includes a rewiring layer, and the rewiring layer is electrically connected to the first target chip and the third target chip.
S340:在该再布线层上方制备第一焊盘,第一焊盘通过再布线层与第一目标晶片电连接。S340: Prepare a first pad above the rewiring layer, and the first pad is electrically connected to the first target wafer through the rewiring layer.
S350:在第二晶圆上制备第二目标晶片,并从第二晶圆上分割出第二目标晶片,第二目标晶片包括第二焊盘。S350: Prepare a second target chip on the second wafer, and separate the second target chip from the second wafer, where the second target chip includes a second pad.
S360:焊接第一焊盘与第二焊盘,以电连接第一目标晶片和第二目标晶片。S360: Weld the first pad and the second pad to electrically connect the first target chip and the second target chip.
S370:将第一目标晶片、第二目标晶片和第三目标晶片的整体进行切割,以得到一个堆叠式芯片,其中,第二目标晶片的表面面积大于第一目标晶片和第三目标晶片的表面面积之和。S370: cutting the entirety of the first target wafer, the second target wafer, and the third target wafer to obtain a stacked chip, wherein the surface area of the second target wafer is larger than the surfaces of the first target wafer and the third target wafer The sum of the area.
具体地,上述步骤S310可以参考图25中的步骤S210,步骤S340至步骤S360可以参考图25中的步骤S230至步骤S250。Specifically, the foregoing step S310 may refer to step S210 in FIG. 25, and step S340 to step S360 may refer to step S230 to step S250 in FIG. 25.
具体地,在步骤S320中,在第三晶圆上制备出多个第三晶片,该第三晶圆可以为硅晶圆等等不同材料的晶圆。Specifically, in step S320, a plurality of third wafers are prepared on the third wafer, and the third wafers may be silicon wafers and other wafers of different materials.
可选地,该多个第三晶片中的每个晶片可以与上述装置实施例中的第三晶片230相同。Optionally, each of the plurality of third wafers may be the same as the third wafer 230 in the foregoing device embodiment.
若第三晶片为存储晶片,或者为其他领域中的芯片,其制造方法以及在晶圆上的切割方法可以参考现有技术中的相关描述,此处不再赘述。If the third chip is a memory chip or a chip in other fields, the manufacturing method and the cutting method on the wafer can be referred to related descriptions in the prior art, which will not be repeated here.
在步骤S330中,将多个第一晶片和多个第三晶片一起封装在载体中,该载体包括再布线层,该再布线层与多个第一晶片中的第一目标晶片电连接,且与多个第三晶片中的第三目标晶片电连接。In step S330, the plurality of first wafers and the plurality of third wafers are packaged together in a carrier, the carrier includes a rewiring layer, and the rewiring layer is electrically connected to the first target wafer among the plurality of first wafers, and It is electrically connected to the third target chip among the plurality of third chips.
可选地,该载体可以包括衬底晶圆、塑封料或者封装基板中的任意一种。Optionally, the carrier may include any one of a substrate wafer, a molding compound, or a packaging substrate.
在一种可能的实施方式中,该载体包括衬底晶圆,在衬底晶圆上制作多个第一容置结构以及多个第二容置结构,该第二容置结构可以与第一容置结构相同,为凹槽或通孔。将该多个第三晶片固定在该多个第二容置结构中,该多个第三晶片的上表面不高于该衬底晶圆的上表面。In a possible embodiment, the carrier includes a substrate wafer, and a plurality of first accommodating structures and a plurality of second accommodating structures are fabricated on the substrate wafer. The accommodating structure is the same and is a groove or a through hole. The plurality of third chips are fixed in the plurality of second accommodating structures, and the upper surface of the plurality of third chips is not higher than the upper surface of the substrate wafer.
可选地,若第二容置结构为凹槽,将多个第三晶片放置,并固定于多个第二凹槽中。该放置和固定方法可以与将多个第一晶片放置于多个第一凹槽的方法相同,此处不再赘述。Optionally, if the second accommodating structure is a groove, a plurality of third wafers are placed and fixed in a plurality of second grooves. The method of placing and fixing may be the same as the method of placing the plurality of first wafers in the plurality of first grooves, and will not be repeated here.
可选地,若第二容置结构为通孔,可以通过胶层将多个第三晶片固定于多个第二通孔中,此时,可以提高多个第三晶片的散热能力。Optionally, if the second accommodating structure is a through hole, the plurality of third chips can be fixed in the plurality of second through holes through the adhesive layer. In this case, the heat dissipation capability of the plurality of third chips can be improved.
将多个第一晶片放置在多个第一容置结构,以及多个第三晶片放置在多个第二容置结构后,在第一目标晶片和第三目标晶片上方制备再布线层,其中,该第一目标晶片可以为多个第一晶片中的任意一个晶片,第三目标晶片 为第一目标晶片对应,其可以为与第一目标晶片相邻的第三晶片。多个第一晶片中每个第一晶片均有其对应的第三晶片。After placing the plurality of first wafers in the plurality of first accommodating structures and the plurality of third wafers in the plurality of second accommodating structures, a rewiring layer is prepared on the first target wafer and the third target wafer, wherein The first target wafer may be any one of a plurality of first wafers, and the third target wafer corresponds to the first target wafer, and it may be a third wafer adjacent to the first target wafer. Each of the plurality of first wafers has a corresponding third wafer.
在本申请实施例中,衬底晶圆可以为硅、玻璃、陶瓷或者其它任意材料,本申请实施例对此不做限定。在一种可能的实施方式中,该衬底晶圆为单晶硅晶圆。In the embodiment of the present application, the substrate wafer may be silicon, glass, ceramic or any other material, which is not limited in the embodiment of the present application. In a possible embodiment, the substrate wafer is a single crystal silicon wafer.
在另一种可能的实施方式中,该载体还包括塑封料,将该多个第一晶片以及多个第三晶片封装在该塑封料中,其中,该多个第一晶片的上表面与空气接触,且该多个第一晶片的上表面不高于该塑封料的上表面,该多个第三晶片的上表面与空气接触,且该多个第三晶片的上表面也不高于该塑封料的上表面。In another possible embodiment, the carrier further includes a plastic encapsulant, and the plurality of first chips and a plurality of third chips are encapsulated in the plastic encapsulant, wherein the upper surface of the plurality of first chips and the air Contact, and the upper surfaces of the plurality of first wafers are not higher than the upper surface of the molding compound, the upper surfaces of the plurality of third wafers are in contact with air, and the upper surfaces of the plurality of third wafers are not higher than the upper surface The upper surface of the molding compound.
可选地,该塑封料具体可以为环氧树脂模塑料,或者现有技术中其它用于晶片封装的有机或者无机材料,本申请实施例对此不做具体限定。Optionally, the molding compound may specifically be epoxy resin molding compound, or other organic or inorganic materials used for chip packaging in the prior art, which are not specifically limited in the embodiment of the present application.
在第三种可能的实施方式中,该载体还包括封装基板,将该多个第一晶片以及多个第三晶片封装在该封装基板内部,在该封装基板中制备该再布线层,其中,该再布线层包括多层水平设置的金属线路层以及多个垂直设置的互连结构。In a third possible implementation manner, the carrier further includes a packaging substrate, the plurality of first chips and the plurality of third chips are packaged inside the packaging substrate, and the rewiring layer is prepared in the packaging substrate, wherein: The rewiring layer includes multiple metal circuit layers arranged horizontally and a plurality of interconnect structures arranged vertically.
可选地,该封装基板还可以其它类型的电路板,例如PCB板等等,将该多个晶片封装于封装基板的技术方案可以参见现有技术中的相关描述,此处不再赘述。Optionally, the packaging substrate may also be other types of circuit boards, such as PCB boards, etc. The technical solution for packaging the multiple chips on the packaging substrate can be referred to related descriptions in the prior art, which will not be repeated here.
在本申请实施例中,第三目标晶片通过再布线层与第一目标晶片电连接。但第三目标晶片经过重布线层对其IO端口进行重分布,换句话说,该第三目标晶片没有在载体单元上形成焊盘与第二目标晶片电连接,即第三目标晶片没有直接与第二目标晶片进行电连接。In the embodiment of the present application, the third target wafer is electrically connected to the first target wafer through the rewiring layer. However, the third target chip redistributes its IO ports through the redistribution layer. In other words, the third target chip does not form a pad on the carrier unit to be electrically connected to the second target chip, that is, the third target chip is not directly connected to the second target chip. The second target chip is electrically connected.
可选地,该第三目标晶片为图像传感芯片中的内存晶片,该内存晶片包括存储电路,用于获取并存储第一目标晶片产生的电信号。Optionally, the third target chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for acquiring and storing electrical signals generated by the first target chip.
可选地,该第三目标晶片还可以为伪芯片,用于平衡该芯片加工过程中的机械应力。Optionally, the third target wafer may also be a dummy chip, which is used to balance the mechanical stress during the processing of the chip.
图39为另一种堆叠式芯片的制造方法的示意性流程框图。FIG. 39 is a schematic flow chart of another method for manufacturing a stacked chip.
如图39所示,该堆叠式芯片的制造方法400包括:As shown in FIG. 39, the manufacturing method 400 of the stacked chip includes:
S410:从第一晶圆上分割出多个第一晶片;S410: Divide a plurality of first wafers from the first wafer;
S420:从第三晶圆上分割出多个第三晶片;S420: Divide a plurality of third wafers from the third wafer;
S430:将多个第一晶片和多个第三晶片封装在载体中,该载体包括再布线层,该再布线层与第一目标晶片和第三目标晶片电连接。S430: Package a plurality of first chips and a plurality of third chips in a carrier, the carrier includes a rewiring layer, and the rewiring layer is electrically connected to the first target chip and the third target chip.
S440:在该再布线层上方制备第一焊盘和第三焊盘,第一焊盘通过再布线层与第一目标晶片电连接,第三焊盘通过再布线层与第三目标晶片电连接。S440: Prepare a first pad and a third pad above the rewiring layer, the first pad is electrically connected to the first target wafer through the rewiring layer, and the third pad is electrically connected to the third target wafer through the rewiring layer .
具体地,制备第三焊盘和制备第一焊盘的过程近似,该第三焊盘分布于在再布线层上方的四周区域,用于与第三目标晶片电连接。Specifically, the process of preparing the third bonding pad is similar to that of preparing the first bonding pad, and the third bonding pads are distributed in the surrounding area above the rewiring layer for electrical connection with the third target wafer.
S450:在第二晶圆上制备第二目标晶片,并从第二晶圆上分割出第二目标晶片,第二目标晶片包括第二焊盘和第四焊盘。S450: Prepare a second target chip on the second wafer, and separate the second target chip from the second wafer, where the second target chip includes a second pad and a fourth pad.
具体地,该第四焊盘的形成过程与第二焊盘相同,均为第二目标晶片的第二金属线路层中的焊盘,区别仅在于第四焊盘与第三目标晶片的焊盘连接,而第二焊盘与第一目标晶片的焊盘连接,该第二焊盘和第四焊盘可以用于输出相同的电信号。Specifically, the formation process of the fourth pad is the same as that of the second pad, and both are pads in the second metal circuit layer of the second target chip. The only difference lies in the fourth pad and the pad of the third target chip. The second pad is connected to the pad of the first target chip, and the second pad and the fourth pad can be used to output the same electrical signal.
S460:焊接第一焊盘与第二焊盘,以电连接第一目标晶片和第二目标晶片,焊接第三焊盘与第四焊盘,以电连接第三目标晶片和第二目标晶片。S460: Weld the first pad and the second pad to electrically connect the first target chip and the second target chip, and weld the third pad and the fourth pad to electrically connect the third target chip and the second target chip.
S470:将第一目标晶片、第二目标晶片和第三目标晶片的整体进行切割,以得到一个堆叠式芯片,其中,第二目标晶片的表面面积大于第一目标晶片和第三目标晶片的表面面积之和。S470: Cutting the entirety of the first target wafer, the second target wafer, and the third target wafer to obtain a stacked chip, wherein the surface area of the second target wafer is larger than the surfaces of the first target wafer and the third target wafer The sum of the area.
在本申请实施例中,第三目标晶片通过再布线层与第一目标晶片电连接。且第三目标晶片经过再布线层对其IO端口进行重分布,该第三目标晶片通过在布线层在载体单元上形成第三焊盘与第二目标晶片电连接。In the embodiment of the present application, the third target wafer is electrically connected to the first target wafer through the rewiring layer. And the third target chip redistributes its IO ports through the rewiring layer, and the third target chip is electrically connected to the second target chip by forming a third pad on the carrier unit on the wiring layer.
可选地,该第三目标晶片为图像传感芯片中的内存晶片,该内存晶片包括存储电路,用于获取并存储第一目标晶片和/或第二目标晶片产生的电信号。Optionally, the third target chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for acquiring and storing electrical signals generated by the first target chip and/or the second target chip.
如图40所示,本申请实施例还提供了一种图像传感器30,该图像传感器30可以包括上述申请实施例的堆叠式芯片20。As shown in FIG. 40, an embodiment of the present application further provides an image sensor 30, and the image sensor 30 may include the stacked chip 20 of the foregoing application embodiment.
具体地,该堆叠式芯片20为一种堆叠式图像传感芯片,用于接收光信号并将光信号转化得到电信号,可选地,该堆叠式图像传感芯片经过封装等后续加工工艺可以形成图像传感器,该图像传感器30还可以包括其它的电学、光学或者机械元件,本申请实施例对此不做限定。Specifically, the stacked chip 20 is a stacked image sensor chip, which is used to receive optical signals and convert the optical signals to obtain electrical signals. Optionally, the stacked image sensor chip may undergo subsequent processing processes such as packaging. To form an image sensor, the image sensor 30 may also include other electrical, optical or mechanical elements, which are not limited in the embodiment of the present application.
如图41示,本申请实施例还提供了一种电子设备40,该电子设备40 可以包括上述申请实施例的堆叠式芯片20。As shown in FIG. 41, an embodiment of the present application further provides an electronic device 40, and the electronic device 40 may include the stacked chip 20 of the foregoing application embodiment.
可选地,该堆叠式芯片20可以为一种图像传感芯片,应用于各种移动终端的拍摄装置中,例如手机的前置或者后置摄像头、数码相机等等。Optionally, the stacked chip 20 may be an image sensor chip, which is applied to various mobile terminal shooting devices, such as front or rear cameras of mobile phones, digital cameras, and so on.
该电子设备还可以包括镜头、光路引导结构等光学装置。The electronic equipment may also include optical devices such as a lens and an optical path guiding structure.
应理解,本申请实施例中的具体的例子只是为了帮助本领域技术人员更好地理解本申请实施例,而非限制本申请实施例的范围。It should be understood that the specific examples in the embodiments of the present application are only to help those skilled in the art to better understand the embodiments of the present application, rather than limiting the scope of the embodiments of the present application.
应理解,在本申请实施例和所附权利要求书中使用的术语是仅仅出于描述特定实施例的目的,而非旨在限制本申请实施例。例如,在本申请实施例和所附权利要求书中所使用的单数形式的“一种”、“上述”和“该”也旨在包括多数形式,除非上下文清楚地表示其他含义。It should be understood that the terms used in the embodiments of the present application and the appended claims are only for the purpose of describing specific embodiments, and are not intended to limit the embodiments of the present application. For example, the singular forms of "a", "above" and "the" used in the embodiments of the present application and the appended claims are also intended to include plural forms, unless the context clearly indicates other meanings.
本领域普通技术人员可以意识到,结合本文中所公开的实施例描述的各示例的单元,能够以电子硬件、计算机软件或者二者的结合来实现,为了清楚地说明硬件和软件的可互换性,在上述说明中已经按照功能一般性地描述了各示例的组成及步骤。这些功能究竟以硬件还是软件方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本申请的范围。A person of ordinary skill in the art may be aware that, in combination with the examples described in the embodiments disclosed herein, the units can be implemented by electronic hardware, computer software, or a combination of both, in order to clearly illustrate the interchangeability of hardware and software. In the above description, the composition and steps of each example have been described generally in terms of function. Whether these functions are executed by hardware or software depends on the specific application and design constraint conditions of the technical solution. Professionals and technicians can use different methods for each specific application to implement the described functions, but such implementation should not be considered beyond the scope of this application.
在本申请所提供的几个实施例中,应该理解到,所揭露的系统、装置,可以通过其它的方式实现。例如,以上所描述的装置实施例仅仅是示意性的,例如,所述单元的划分,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式,例如多个单元或组件可以结合或者可以集成到另一个系统,或一些特征可以忽略,或不执行。另外,所显示或讨论的相互之间的耦合或直接耦合或通信连接可以是通过一些接口、装置或单元的间接耦合或通信连接,也可以是电的,机械的或其它的形式连接。In the several embodiments provided in this application, it should be understood that the disclosed system and device may be implemented in other ways. For example, the device embodiments described above are merely illustrative. For example, the division of the units is only a logical function division, and there may be other divisions in actual implementation, for example, multiple units or components may be combined or It can be integrated into another system, or some features can be ignored or not implemented. In addition, the displayed or discussed mutual coupling or direct coupling or communication connection may be indirect coupling or communication connection through some interfaces, devices or units, and may also be electrical, mechanical or other forms of connection.
所述作为分离部件说明的单元可以是或者也可以不是物理上分开的,作为单元显示的部件可以是或者也可以不是物理单元,即可以位于一个地方,或者也可以分布到多个网络单元上。可以根据实际的需要选择其中的部分或者全部单元来实现本申请实施例方案的目的。The units described as separate components may or may not be physically separated, and the components displayed as units may or may not be physical units, that is, they may be located in one place, or they may be distributed on multiple network units. Some or all of the units may be selected according to actual needs to achieve the objectives of the solutions of the embodiments of the present application.
另外,在本申请各个实施例中的各功能单元可以集成在一个处理单元中,也可以是各个单元单独物理存在,也可以是两个或两个以上单元集成在一个单元中。上述集成的单元既可以采用硬件的形式实现,也可以采用软件 功能单元的形式实现。In addition, the functional units in the various embodiments of the present application may be integrated into one processing unit, or each unit may exist alone physically, or two or more units may be integrated into one unit. The above-mentioned integrated unit can be realized in the form of hardware or software functional unit.
所述集成的单元如果以软件功能单元的形式实现并作为独立的产品销售或使用时,可以存储在一个计算机可读取存储介质中。基于这样的理解,本申请的技术方案本质上或者说对现有技术做出贡献的部分,或者该技术方案的全部或部分可以以软件产品的形式体现出来,该计算机软件产品存储在一个存储介质中,包括若干指令用以使得一台计算机设备(可以是个人计算机,服务器,或者网络设备等)执行本申请各个实施例所述方法的全部或部分步骤。而前述的存储介质包括:U盘、移动硬盘、只读存储器(Read-Only Memory,ROM)、随机存取存储器(Random Access Memory,RAM)、磁碟或者光盘等各种可以存储程序代码的介质。If the integrated unit is implemented in the form of a software functional unit and sold or used as an independent product, it can be stored in a computer readable storage medium. Based on this understanding, the technical solution of this application is essentially or the part that contributes to the existing technology, or all or part of the technical solution can be embodied in the form of a software product, and the computer software product is stored in a storage medium. It includes several instructions to make a computer device (which may be a personal computer, a server, or a network device, etc.) execute all or part of the steps of the method described in each embodiment of the present application. The aforementioned storage media include: U disk, mobile hard disk, read-only memory (Read-Only Memory, ROM), random access memory (Random Access Memory, RAM), magnetic disk or optical disk and other media that can store program code .
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到各种等效的修改或替换,这些修改或替换都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以权利要求的保护范围为准。The above are only specific implementations of this application, but the protection scope of this application is not limited to this. Anyone familiar with the technical field can easily think of various equivalents within the technical scope disclosed in this application. Modifications or replacements, these modifications or replacements shall be covered within the protection scope of this application. Therefore, the protection scope of this application shall be subject to the protection scope of the claims.

Claims (50)

  1. 一种堆叠式的芯片,其特征在于,包括:A stacked chip, characterized in that it comprises:
    载体单元,其中设置有第一容置结构,所述第一容置结构为凹槽或通孔;The carrier unit is provided with a first accommodating structure, and the first accommodating structure is a groove or a through hole;
    第一晶片,设置于所述第一容置结构中;The first chip is arranged in the first accommodating structure;
    再布线层,设置于所述第一晶片上方;The rewiring layer is arranged above the first chip;
    第一焊盘,设置于所述再布线层上方,所述第一焊盘通过所述再布线层与所述第一晶片电连接;A first pad is disposed above the rewiring layer, and the first pad is electrically connected to the first chip through the rewiring layer;
    第二晶片,堆叠于所述载体单元和所述第一晶片的上方,所述第二晶片包括第二焊盘,所述第二焊盘与所述第一焊盘电连接,其中,所述第二晶片的表面面积大于所述第一晶片的表面面积。The second chip is stacked above the carrier unit and the first chip, the second chip includes a second pad, and the second pad is electrically connected to the first pad, wherein the The surface area of the second wafer is greater than the surface area of the first wafer.
  2. 根据权利要求1所述的芯片,其特征在于,所述第二晶片的表面面积小于所述载体单元的表面面积。The chip of claim 1, wherein the surface area of the second wafer is smaller than the surface area of the carrier unit.
  3. 根据权利要求1或2所述的芯片,其特征在于,所述芯片还包括:特定焊盘,设置于所述再布线层上方,所述特定焊盘通过所述再布线层与所述第一晶片电连接;The chip according to claim 1 or 2, wherein the chip further comprises: a specific pad disposed above the rewiring layer, the specific pad passing through the rewiring layer and the first Chip electrical connection;
    所述特定焊盘用于通过引线与所述芯片所在的装置中的电路板连接。The specific pad is used to connect to the circuit board in the device where the chip is located through a wire.
  4. 根据权利要求3所述的芯片,其特征在于,所述特定焊盘位于所述第二晶片在垂直方向的投影之外。3. The chip of claim 3, wherein the specific pad is located outside the projection of the second wafer in the vertical direction.
  5. 根据权利要求1至4中任一项所述的芯片,其特征在于,所述堆叠式的芯片为图像传感芯片;The chip according to any one of claims 1 to 4, wherein the stacked chip is an image sensor chip;
    所述第二晶片为像素晶片,所述像素晶片包括像素阵列,用于接收光信号并转换为电信号;The second wafer is a pixel wafer, and the pixel wafer includes a pixel array for receiving optical signals and converting them into electrical signals;
    所述第一晶片为逻辑晶片,所述逻辑晶片包括信号处理电路,用于处理所述电信号。The first chip is a logic chip, and the logic chip includes a signal processing circuit for processing the electrical signal.
  6. 根据权利要求5所述的芯片,其特征在于,所述第二晶片还包括衬底、第一介质层以及第二金属线路层;The chip according to claim 5, wherein the second wafer further comprises a substrate, a first dielectric layer, and a second metal circuit layer;
    所述像素阵列形成于所述衬底中,所述第一介质层设置在所述衬底的表面,所述第二金属线路层形成于所述第一介质层中;The pixel array is formed in the substrate, the first dielectric layer is disposed on the surface of the substrate, and the second metal circuit layer is formed in the first dielectric layer;
    所述第二金属线路层电连接于所述像素阵列,且所述第二金属线路层中设置有所述第二焊盘。The second metal circuit layer is electrically connected to the pixel array, and the second pad is disposed in the second metal circuit layer.
  7. 根据权利要求6所述的芯片,其特征在于,所述第二焊盘设置于所 述像素阵列在其垂直方向的投影之外,所述第一焊盘位于所述第二焊盘的正上方。7. The chip of claim 6, wherein the second pad is disposed outside the projection of the pixel array in its vertical direction, and the first pad is located directly above the second pad .
  8. 根据权利要求6或7所述的芯片,其特征在于,所述第二晶片为背照式结构,所述像素阵列靠近于所述衬底的下表面,且所述第一介质层设置在所述衬底的下表面。The chip according to claim 6 or 7, wherein the second wafer has a back-illuminated structure, the pixel array is close to the bottom surface of the substrate, and the first dielectric layer is disposed on the bottom surface of the substrate. The lower surface of the substrate.
  9. 根据权利要求8所述的芯片,其特征在于,所述第二金属线路层与所述第一介质层的下表面之间设置有开孔以在所述第二金属线路层中形成所述第二焊盘。8. The chip of claim 8, wherein an opening is provided between the second metal circuit layer and the lower surface of the first dielectric layer to form the second metal circuit layer in the second metal circuit layer. Two pads.
  10. 根据权利要求9所述的芯片,其特征在于,所述第二晶片还包括:第二介质层,所述第二介质层设置于所述第一介质层下表面的非开孔区域。9. The chip according to claim 9, wherein the second wafer further comprises: a second dielectric layer, and the second dielectric layer is disposed in a non-opening area on the lower surface of the first dielectric layer.
  11. 根据权利要求9所述的芯片,其特征在于,所述第二晶片还包括:第二介质层,所述第二介质层覆盖所述第一介质层的下表面,所述第二金属线路层与所述第二介质层的下表面之间设置有开孔以在所述第二金属线路层中形成所述第二焊盘。The chip according to claim 9, wherein the second wafer further comprises: a second dielectric layer, the second dielectric layer covering the lower surface of the first dielectric layer, and the second metal circuit layer An opening is provided between the lower surface of the second dielectric layer to form the second pad in the second metal circuit layer.
  12. 根据权利要求6或7所述的芯片,其特征在于,所述第二晶片为正照式结构,所述像素阵列靠近于所述衬底的上表面,且所述第一介质层设置在所述衬底的上表面;The chip according to claim 6 or 7, wherein the second wafer has a front-illuminated structure, the pixel array is close to the upper surface of the substrate, and the first dielectric layer is disposed on the The upper surface of the substrate;
    所述第二金属线路层与所述衬底的下表面之间设置有开孔以在所述第二金属线路层中形成所述第二焊盘。An opening is provided between the second metal circuit layer and the lower surface of the substrate to form the second pad in the second metal circuit layer.
  13. 根据权利要求6至12中任一项所述的芯片,其特征在于,所述第二焊盘下方设置有凸块底层金属化层,或者设置有通孔互连结构,所述凸块底层金属化层或者所述通孔互连结构下方设置有焊球。The chip according to any one of claims 6 to 12, wherein a bottom bump metallization layer is provided under the second pad, or a through-hole interconnection structure is provided, and the bump bottom metal Solder balls are arranged under the chemical layer or the through-hole interconnection structure.
  14. 根据权利要求6至13中任一项所述的芯片,其特征在于,所述第二晶片还包括:光学组件,设置在所述像素阵列上方,所述光学组件包括滤光层和/或微透镜阵列。The chip according to any one of claims 6 to 13, wherein the second wafer further comprises: an optical component disposed above the pixel array, the optical component comprising a filter layer and/or micro Lens array.
  15. 根据权利要求14所述的芯片,其特征在于,所述第二晶片还包括透明盖板,所述透明盖板设置在所述光学元件上方,其中,所述透明盖板与所述光学元件之间为空气或者透明介质层。The chip according to claim 14, wherein the second chip further comprises a transparent cover plate, the transparent cover plate is arranged above the optical element, wherein the transparent cover plate and the optical element The space is air or a transparent medium layer.
  16. 根据权利要求1至15中任一项所述的芯片,其特征在于,所述芯片还包括:第三介质层和第四介质层,The chip according to any one of claims 1 to 15, wherein the chip further comprises: a third dielectric layer and a fourth dielectric layer,
    所述第三介质层设置在所述再布线层与所述载体单元之间,用于形成导 电通道连接所述再布线层和所述第一晶片的第一金属线路层;The third dielectric layer is disposed between the rewiring layer and the carrier unit, and is used to form a conductive channel to connect the rewiring layer and the first metal circuit layer of the first wafer;
    所述第四介质层设置在所述第一焊盘与所述再布线层之间,用于形成导电通道连接所述再布线层和所述第一焊盘。The fourth dielectric layer is disposed between the first pad and the rewiring layer, and is used to form a conductive channel to connect the rewiring layer and the first pad.
  17. 根据权利要求16所述的芯片,其特征在于,所述芯片还包括第一导热金属层,所述第一导热金属层设置在所述第四介质层的上表面,所述第一导热金属层与所述第一焊盘位于同一水平面上。The chip according to claim 16, wherein the chip further comprises a first thermally conductive metal layer, the first thermally conductive metal layer is disposed on the upper surface of the fourth dielectric layer, and the first thermally conductive metal layer It is located on the same horizontal plane as the first pad.
  18. 根据权利要求1至17中任一项所述的芯片,其特征在于,若所述第一容置结构为凹槽,所述芯片还包括:第二导热金属层,设置在所述第一容置结构的底部,所述第一晶片设置于所述第二导热金属层上表面,所述第二导热金属层通过至少一个导热金属结构连接至所述载体单元的下表面。The chip according to any one of claims 1 to 17, wherein if the first accommodating structure is a groove, the chip further comprises: a second thermally conductive metal layer disposed on the first container At the bottom of the structure, the first wafer is disposed on the upper surface of the second thermally conductive metal layer, and the second thermally conductive metal layer is connected to the lower surface of the carrier unit through at least one thermally conductive metal structure.
  19. 根据权利要求18所述的芯片,其特征在于,所述载体单元的下表面还设置有第三导热金属层,所述第三导热金属层与所述至少一个导热金属结构连接。The chip according to claim 18, wherein a third thermally conductive metal layer is further provided on the lower surface of the carrier unit, and the third thermally conductive metal layer is connected to the at least one thermally conductive metal structure.
  20. 根据权利要求1至19中任一项所述的芯片,其特征在于,所述载体单元中还设置有第二容置结构,所述第二容置结构为凹槽或通孔;The chip according to any one of claims 1 to 19, wherein a second accommodating structure is further provided in the carrier unit, and the second accommodating structure is a groove or a through hole;
    所述芯片还包括:第三晶片,设置于所述第二容置结构中;The chip further includes: a third wafer, which is arranged in the second accommodating structure;
    所述第二晶片,堆叠于所述载体单元、所述第一晶片和所述第三晶片的上方,所述第二晶片通过其下表面的第二焊盘与所述第一焊盘电连接,且所述第二晶片的表面面积大于所述第一晶片与所述第三晶片的表面面积之和。The second chip is stacked above the carrier unit, the first chip, and the third chip, and the second chip is electrically connected to the first bonding pad through a second bonding pad on the lower surface of the second chip , And the surface area of the second wafer is greater than the sum of the surface areas of the first wafer and the third wafer.
  21. 根据权利要求20所述的芯片,其特征在于,所述再布线层设置于所述第一晶片和所述第三晶片的上方,所述第三晶片通过所述再布线层与所述第一晶片电连接。The chip according to claim 20, wherein the rewiring layer is disposed above the first wafer and the third wafer, and the third wafer passes through the rewiring layer and the first wafer. The chip is electrically connected.
  22. 根据权利要求21所述的芯片,其特征在于,所述芯片还包括:第三焊盘,设置于所述再布线层上方,所述第三焊盘通过所述再布线层与所述第三晶片电连接;The chip according to claim 21, wherein the chip further comprises: a third pad disposed above the rewiring layer, the third pad passing through the rewiring layer and the third pad. Chip electrical connection;
    所述第二晶片还包括第四焊盘,所述第四焊盘与所述第三焊盘电连接。The second chip further includes a fourth pad, and the fourth pad is electrically connected to the third pad.
  23. 根据权利要求20至22中任一项所述的芯片,其特征在于,所述第三晶片为图像传感芯片中的内存晶片,所述内存晶片包括存储电路,用于存储所述第一晶片和/或所述第二晶片产生的电信号。The chip according to any one of claims 20 to 22, wherein the third chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for storing the first chip And/or electrical signals generated by the second wafer.
  24. 根据权利要求20所述的芯片,其特征在于,所述第三晶片为伪芯片,用于平衡所述芯片加工过程中的机械应力。22. The chip of claim 20, wherein the third wafer is a dummy chip for balancing mechanical stress during the processing of the chip.
  25. 根据权利要求1至24中任一项所述的芯片,其特征在于,所述载体单元为衬底、塑封料、封装基板、电路板中的任意一种,其中,所述衬底的材料为硅、玻璃、陶瓷中的任意一种。The chip according to any one of claims 1 to 24, wherein the carrier unit is any one of a substrate, a molding compound, a package substrate, and a circuit board, wherein the material of the substrate is Any of silicon, glass, ceramics.
  26. 一种堆叠式芯片的制造方法,其特征在于,包括:A method for manufacturing a stacked chip, which is characterized in that it comprises:
    从第一晶圆上分割出多个第一晶片;Singulate a plurality of first wafers from the first wafer;
    将所述多个第一晶片封装在载体中;Encapsulating the plurality of first chips in a carrier;
    在所述多个第一晶片上方制备再布线层;Preparing a rewiring layer over the plurality of first wafers;
    在所述再布线层上方制备第一焊盘,所述第一焊盘通过所述再布线层与所述多个第一晶片中的第一目标晶片电连接;Preparing a first pad above the rewiring layer, and the first pad is electrically connected to a first target wafer of the plurality of first wafers through the rewiring layer;
    在第二晶圆上制备多个第二晶片,并从所述第二晶圆上分割出所述多个第二晶片中的第二目标晶片,所述第二目标晶片包括第二焊盘;Preparing a plurality of second wafers on a second wafer, and dividing a second target wafer of the plurality of second wafers from the second wafer, the second target wafer including a second bonding pad;
    将所述第二目标晶片堆叠于所述第一目标晶片上方,焊接所述第一焊盘与所述第二焊盘,以电连接所述第一目标晶片和所述第二目标晶片;Stacking the second target chip above the first target chip, and soldering the first pad and the second pad to electrically connect the first target chip and the second target chip;
    将电连接后的所述第一目标晶片与所述第二目标晶片的整体进行切割,以得到一个堆叠式芯片,其中,所述第二目标晶片的表面面积大于所述第一目标晶片的表面面积。The whole of the electrically connected first target wafer and the second target wafer are cut to obtain a stacked chip, wherein the surface area of the second target wafer is larger than the surface of the first target wafer area.
  27. 根据权利要求26所述的制造方法,其特征在于,所述制造方法还包括:The manufacturing method according to claim 26, wherein the manufacturing method further comprises:
    在所述再布线层上方制备特定焊盘,所述特定焊盘通过所述再布线层与所述第一目标晶片电连接;Preparing a specific pad above the rewiring layer, and the specific pad is electrically connected to the first target wafer through the rewiring layer;
    所述特定焊盘用于通过引线与所述堆叠式芯片所在的装置中的电路板连接。The specific pad is used to connect to the circuit board in the device where the stacked chip is located through a wire.
  28. 根据权利要求26或27所述的制造方法,其特征在于,所述载体为衬底晶圆,所述将所述多个第一晶片封装在载体中,包括:The manufacturing method according to claim 26 or 27, wherein the carrier is a substrate wafer, and the packaging of the plurality of first chips in the carrier comprises:
    在所述衬底晶圆上制作多个第一容置结构,所述第一容置结构为凹槽或通孔;Fabricating a plurality of first accommodating structures on the substrate wafer, and the first accommodating structures are grooves or through holes;
    将所述多个第一晶片固定在所述多个第一容置结构中;Fixing the plurality of first wafers in the plurality of first accommodating structures;
    在固定有所述多个第一晶片的所述衬底晶圆上方制备所述再布线层。The rewiring layer is prepared above the substrate wafer on which the plurality of first wafers are fixed.
  29. 根据权利要求26或27所述的制造方法,其特征在于,所述载体为塑封料,所述将所述多个第一晶片封装在载体中,包括:The manufacturing method according to claim 26 or 27, wherein the carrier is a plastic molding compound, and encapsulating the plurality of first chips in the carrier includes:
    将所述多个第一晶片封装在所述塑封料中,其中,所述多个第一晶片的 上表面与空气接触;Encapsulating the plurality of first chips in the molding compound, wherein the upper surfaces of the plurality of first chips are in contact with air;
    在封装有所述多个第一晶片的所述塑封料上方制备所述再布线层。The rewiring layer is prepared on the plastic encapsulant in which the plurality of first chips are encapsulated.
  30. 根据权利要求26或27所述的制造方法,其特征在于,所述载体为封装基板,所述将所述多个第一晶片封装在载体中,包括:The manufacturing method according to claim 26 or 27, wherein the carrier is a packaging substrate, and the packaging of the plurality of first chips in the carrier comprises:
    将所述多个第一晶片封装在所述封装基板内部;Packaging the plurality of first chips inside the packaging substrate;
    在所述封装基板中制备所述再布线层,其中,所述再布线层包括多层水平设置的金属线路层以及多个垂直设置的互连结构。The rewiring layer is prepared in the packaging substrate, wherein the rewiring layer includes multiple horizontally arranged metal circuit layers and a plurality of vertically arranged interconnection structures.
  31. 根据权利要求26至30中任一项所述的制造方法,其特征在于,所述堆叠式芯片为图像传感芯片,所述第二目标晶片为像素晶片,所述第二目标晶片包括像素阵列,用于接收光信号并转换为电信号;The manufacturing method according to any one of claims 26 to 30, wherein the stacked chip is an image sensor chip, the second target wafer is a pixel wafer, and the second target wafer includes a pixel array , Used to receive optical signals and convert them into electrical signals;
    所述第一目标晶片为逻辑晶片,所述第一目标晶片包括信号处理电路,用于处理所述电信号。The first target chip is a logic chip, and the first target chip includes a signal processing circuit for processing the electrical signal.
  32. 根据权利要求31所述的制造方法,其特征在于,所述在第二晶圆上制备多个第二晶片,包括:The manufacturing method according to claim 31, wherein the preparing a plurality of second wafers on the second wafer comprises:
    在所述第二晶圆中制备所述第二目标晶片的像素阵列,并在所述第二晶圆的表面制备第一介质层和第二金属线路层,其中,所述第二金属线路层形成于所述第一介质层中,且所述第二金属线路层电连接于所述像素阵列;Prepare the pixel array of the second target wafer in the second wafer, and prepare a first dielectric layer and a second metal circuit layer on the surface of the second wafer, wherein the second metal circuit layer Formed in the first dielectric layer, and the second metal circuit layer is electrically connected to the pixel array;
    制备所述第二焊盘,所述第二焊盘形成于所述第二金属线路层中;Preparing the second pad, and the second pad is formed in the second metal circuit layer;
    在所述第二焊盘下方制备电连接装置。An electrical connection device is prepared under the second pad.
  33. 根据权利要求32所述的制造方法,其特征在于,所述第二焊盘形成于所述像素阵列在其垂直方向的投影之外。The manufacturing method according to claim 32, wherein the second pad is formed outside the projection of the pixel array in its vertical direction.
  34. 根据权利要求32或33所述的制造方法,其特征在于,所述第二目标晶片为背照式结构,所述在所述第二晶圆中制备所述第二目标晶片的像素阵列,并在所述第二晶圆的表面制备第一介质层和第二金属线路层,包括:The manufacturing method according to claim 32 or 33, wherein the second target chip has a back-illuminated structure, and the pixel array of the second target chip is prepared in the second wafer, and Preparing a first dielectric layer and a second metal circuit layer on the surface of the second wafer includes:
    在所述第二晶圆的下部制备所述像素阵列,所述像素阵列靠近于所述第二晶圆的下表面;Preparing the pixel array at the lower part of the second wafer, the pixel array being close to the lower surface of the second wafer;
    在所述第二晶圆的下表面制备所述第一介质层和所述第二金属线路层。The first dielectric layer and the second metal circuit layer are prepared on the lower surface of the second wafer.
  35. 根据权利要求34所述的制造方法,其特征在于,所述制造方法还包括:The manufacturing method according to claim 34, wherein the manufacturing method further comprises:
    采用晶圆键合工艺键合将所述第二晶圆键合在衬底晶圆上;Bonding the second wafer on the substrate wafer by using a wafer bonding process;
    对所述第二晶圆的上表面进行减薄处理,其中,所述像素阵列接近于减 薄处理后的所述第二晶圆的上表面。The upper surface of the second wafer is thinned, wherein the pixel array is close to the upper surface of the second wafer after the thinning process.
  36. 根据权利要求35所述的制造方法,其特征在于,所述制造方法还包括:The manufacturing method according to claim 35, wherein the manufacturing method further comprises:
    在所述像素阵列的上方设置透明盖板作为支撑结构,对所述衬底晶圆的下表面进行减薄处理至所述第二金属线路层接近于所述衬底晶圆的下表面。A transparent cover is arranged above the pixel array as a supporting structure, and the lower surface of the substrate wafer is thinned until the second metal circuit layer is close to the lower surface of the substrate wafer.
  37. 根据权利要求35或36所述的制造方法,其特征在于,所述制备所述第二焊盘,包括:The manufacturing method according to claim 35 or 36, wherein the preparing the second bonding pad comprises:
    对所述衬底晶圆的下表面进行刻蚀处理形成开孔,所述开孔连接所述第二金属线路层,以在所述第二金属线路层中形成所述第二焊盘。The lower surface of the substrate wafer is etched to form an opening, and the opening is connected to the second metal circuit layer to form the second pad in the second metal circuit layer.
  38. 根据权利要求35所述的制造方法,其特征在于,所述制造方法还包括:The manufacturing method according to claim 35, wherein the manufacturing method further comprises:
    在所述像素阵列的上方设置透明盖板作为支撑结构,对所述衬底晶圆的下表面进行减薄处理至完全去除所述衬底晶圆。A transparent cover is arranged above the pixel array as a supporting structure, and the lower surface of the substrate wafer is thinned to completely remove the substrate wafer.
  39. 根据权利要求38所述的制造方法,其特征在于,所述制备所述第二焊盘,包括:The manufacturing method according to claim 38, wherein the preparing the second bonding pad comprises:
    对所述第一介质层的下表面进行刻蚀处理形成开孔,所述开孔连接所述第二金属线路层,以在所述第二金属线路层中形成所述第二焊盘。The lower surface of the first dielectric layer is etched to form an opening, and the opening is connected to the second metal circuit layer to form the second pad in the second metal circuit layer.
  40. 根据权利要求32或33所述的制造方法,其特征在于,所述第二目标晶片为正照式结构,所述在所述第二晶圆中制备所述第二目标晶片的像素阵列,并在所述第二晶圆的表面制备第一介质层和第二金属线路层,包括:The manufacturing method according to claim 32 or 33, wherein the second target wafer has a front-illuminated structure, and the pixel array of the second target wafer is prepared in the second wafer, and the The preparation of a first dielectric layer and a second metal circuit layer on the surface of the second wafer includes:
    在所述第二晶圆的上部制备所述像素阵列,所述像素阵列靠近于所述第二晶圆的上表面;Preparing the pixel array on the upper part of the second wafer, the pixel array being close to the upper surface of the second wafer;
    在所述第二晶圆的上表面制备所述第一介质层和所述第二金属线路层。The first dielectric layer and the second metal circuit layer are prepared on the upper surface of the second wafer.
  41. 根据权利要求40所述的制造方法,其特征在于,所述制备所述第二焊盘,包括:The manufacturing method of claim 40, wherein the preparing the second bonding pad comprises:
    对所述第二晶圆的下表面进行刻蚀处理形成开孔,所述开孔连接所述第二金属线路层,以在所述第二金属线路层中形成所述第二焊盘。The lower surface of the second wafer is etched to form an opening, and the opening is connected to the second metal circuit layer to form the second pad in the second metal circuit layer.
  42. 根据权利要求32至41中任一项所述的制造方法,其特征在于,所述在所述第二焊盘下方制备电连接装置,包括:The manufacturing method according to any one of claims 32 to 41, wherein the preparing an electrical connection device under the second bonding pad comprises:
    在所述第二焊盘下方制备凸块底层金属化层或者通孔互连结构,Preparing a bottom bump metallization layer or a via interconnection structure under the second pad,
    在所述凸块底层金属化层或者通孔连接结构下方制备焊球。A solder ball is prepared under the metallization layer of the bottom bump of the bump or the through-hole connection structure.
  43. 根据权利要求32至42中任一项所述的制造方法,其特征在于,所述在所述第二晶圆中制备所述第二目标晶片的像素阵列之后,所述制造方法还包括:The manufacturing method according to any one of claims 32 to 42, wherein after the pixel array of the second target wafer is prepared in the second wafer, the manufacturing method further comprises:
    在所述像素阵列上方制备光学组件,所述光学组件包括:滤光层和/或微透镜阵列。An optical component is prepared above the pixel array, and the optical component includes: a filter layer and/or a microlens array.
  44. 根据权利要求26至43中任一项所述的制造方法,其特征在于,所述制造方法还包括:The manufacturing method according to any one of claims 26 to 43, wherein the manufacturing method further comprises:
    从第三晶圆上分割出多个第三晶片;Divide a plurality of third wafers from the third wafer;
    将所述多个第三晶片与所述多个第一晶片一起封装在所述载体中,所述再布线层与所述多个第三晶片中的第三目标晶片电连接;Packaging the plurality of third chips together with the plurality of first chips in the carrier, and the rewiring layer is electrically connected to a third target chip among the plurality of third chips;
    将所述第一目标晶片、所述第二目标晶片和所述第三目标晶片的整体进行切割,以得到一个堆叠式芯片;Cutting the entirety of the first target wafer, the second target wafer, and the third target wafer to obtain a stacked chip;
    其中,所述第二目标晶片的表面面积大于所述第一目标晶片和所述第三目标晶片的表面面积之和。Wherein, the surface area of the second target wafer is greater than the sum of the surface areas of the first target wafer and the third target wafer.
  45. 根据权利要求44所述的制造方法,其特征在于,所述第三晶片通过所述再布线层与所述第一晶片电连接。The manufacturing method according to claim 44, wherein the third wafer is electrically connected to the first wafer through the rewiring layer.
  46. 根据权利要求45所述的制造方法,其特征在于,所述制造方法还包括:The manufacturing method according to claim 45, wherein the manufacturing method further comprises:
    在所述再布线层上方制备第三焊盘,所述第三焊盘通过所述再布线层与所述第三目标晶片电连接;Preparing a third pad above the rewiring layer, and the third pad is electrically connected to the third target wafer through the rewiring layer;
    焊接所述第三焊盘与所述第二晶片的第四焊盘,以电连接所述第三目标晶片和所述第二目标晶片。Soldering the third pad and the fourth pad of the second chip to electrically connect the third target chip and the second target chip.
  47. 根据权利要求44至46中任一项所述的制造方法,其特征在于,所述第三目标晶片为图像传感芯片中的内存晶片,所述内存晶片包括存储电路,用于存储所述第一目标晶片和/或所述第二目标晶片产生的电信号。The manufacturing method according to any one of claims 44 to 46, wherein the third target chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for storing the first An electrical signal generated by a target wafer and/or the second target wafer.
  48. 根据权利要求44所述的制造方法,其特征在于,所述第三目标晶片为伪芯片,用于平衡所述芯片加工过程中的机械应力。The manufacturing method according to claim 44, wherein the third target wafer is a dummy chip, which is used to balance mechanical stress during the processing of the chip.
  49. 一种图像传感器,其特征在于,包括:如权利要求1至25中任一项所述的堆叠式的芯片。An image sensor, characterized by comprising: the stacked chip according to any one of claims 1-25.
  50. 一种电子设备,其特征在于,包括:如权利要求1至25中任一项所述的堆叠式的芯片。An electronic device, characterized by comprising: the stacked chip according to any one of claims 1-25.
PCT/CN2020/073303 2020-01-20 2020-01-20 Stacked chip, manufacturing method, image sensor, and electronic device WO2021146860A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
PCT/CN2020/073303 WO2021146860A1 (en) 2020-01-20 2020-01-20 Stacked chip, manufacturing method, image sensor, and electronic device
CN202080001656.9A CN111819689A (en) 2020-01-20 2020-01-20 Stacked chip, manufacturing method, image sensor and electronic device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2020/073303 WO2021146860A1 (en) 2020-01-20 2020-01-20 Stacked chip, manufacturing method, image sensor, and electronic device

Publications (1)

Publication Number Publication Date
WO2021146860A1 true WO2021146860A1 (en) 2021-07-29

Family

ID=72859466

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2020/073303 WO2021146860A1 (en) 2020-01-20 2020-01-20 Stacked chip, manufacturing method, image sensor, and electronic device

Country Status (2)

Country Link
CN (1) CN111819689A (en)
WO (1) WO2021146860A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114883301A (en) * 2022-04-29 2022-08-09 西安电子科技大学 Chiplet-based microsystem reconfigurable network topology structure and implementation method
WO2024066226A1 (en) * 2022-09-30 2024-04-04 华进半导体封装先导技术研发中心有限公司 Method for constructing storage chip packaging structure and packaging structure

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112340694B (en) * 2020-11-03 2023-05-12 中国电子科技集团公司第二十九研究所 Preparation method of glass micro-channel radiator for gallium nitride power amplifier chip
CN114975489A (en) * 2021-02-25 2022-08-30 联合微电子中心有限责任公司 Method of manufacturing semiconductor device, and semiconductor package
CN116484796A (en) * 2022-01-13 2023-07-25 长鑫存储技术有限公司 Chip packaging structure and storage system
TWI810981B (en) * 2022-06-21 2023-08-01 力成科技股份有限公司 Fan out package structure of image sensor and manufacturing method thereof
CN117673021A (en) * 2022-08-12 2024-03-08 长鑫存储技术有限公司 Semiconductor structure, forming method thereof and memory
CN116519618B (en) * 2023-06-28 2023-09-22 深圳高性能医疗器械国家研究院有限公司 Optical biosensing module and optical biosensing device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2598136Y (en) * 2002-12-27 2004-01-07 胜开科技股份有限公司 Wafer stacking structure
CN101162717A (en) * 2006-10-09 2008-04-16 台湾积体电路制造股份有限公司 Stacked structures and methods of fabricating stacked structures
CN102484099A (en) * 2009-08-26 2012-05-30 高通股份有限公司 Semiconductor wafer-to-wafer bonding for dissimilar semiconductor dies and/or wafers
CN108288609A (en) * 2018-01-30 2018-07-17 德淮半导体有限公司 Wafer-to-wafer stacking and its manufacturing method and image sensering device
CN209401620U (en) * 2018-11-01 2019-09-17 长鑫存储技术有限公司 Stacked wafer structure and chip stack structure
CN110349933A (en) * 2019-07-23 2019-10-18 上海先方半导体有限公司 A kind of encapsulating structure and preparation method of wafer bonding stacked chips

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100809718B1 (en) * 2007-01-15 2008-03-06 삼성전자주식회사 Stack type semiconductor chip package having different type of chips and fabrication method thereof
KR20090011561A (en) * 2007-07-26 2009-02-02 주식회사 하이닉스반도체 Stack chip package and method for fabricating of the same
JP5763116B2 (en) * 2013-03-25 2015-08-12 株式会社東芝 Manufacturing method of semiconductor device
CN109300837B (en) * 2017-07-25 2023-10-20 华天科技(昆山)电子有限公司 Thin 3D fan-out packaging structure and wafer level packaging method
CN209119095U (en) * 2018-11-01 2019-07-16 长鑫存储技术有限公司 Stacked wafer structure and chip stack structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2598136Y (en) * 2002-12-27 2004-01-07 胜开科技股份有限公司 Wafer stacking structure
CN101162717A (en) * 2006-10-09 2008-04-16 台湾积体电路制造股份有限公司 Stacked structures and methods of fabricating stacked structures
CN102484099A (en) * 2009-08-26 2012-05-30 高通股份有限公司 Semiconductor wafer-to-wafer bonding for dissimilar semiconductor dies and/or wafers
CN108288609A (en) * 2018-01-30 2018-07-17 德淮半导体有限公司 Wafer-to-wafer stacking and its manufacturing method and image sensering device
CN209401620U (en) * 2018-11-01 2019-09-17 长鑫存储技术有限公司 Stacked wafer structure and chip stack structure
CN110349933A (en) * 2019-07-23 2019-10-18 上海先方半导体有限公司 A kind of encapsulating structure and preparation method of wafer bonding stacked chips

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114883301A (en) * 2022-04-29 2022-08-09 西安电子科技大学 Chiplet-based microsystem reconfigurable network topology structure and implementation method
WO2024066226A1 (en) * 2022-09-30 2024-04-04 华进半导体封装先导技术研发中心有限公司 Method for constructing storage chip packaging structure and packaging structure

Also Published As

Publication number Publication date
CN111819689A (en) 2020-10-23

Similar Documents

Publication Publication Date Title
WO2021146860A1 (en) Stacked chip, manufacturing method, image sensor, and electronic device
US9881863B2 (en) Semiconductor packages and methods of packaging semiconductor devices
KR102500813B1 (en) Semiconductor device and method for manufacturing the same
KR102055840B1 (en) Image sensor package
US10109559B2 (en) Electronic device package and fabrication method thereof
KR20180016053A (en) Stacked Image Sensor Package And Stacked Image Sensor Module
TW202115836A (en) Multi-chip package and manufacture method thereof
CN103681702A (en) Methods and apparatus for sensor module
JP2009088459A (en) Wafer-level image sensor module and its manufacturing method, and camera module
TWI594406B (en) Three dimensional system-on-chip image sensor package
CN210866179U (en) Stacked image sensing chip, image sensor and electronic device
WO2021092777A1 (en) Stacked chip, manufacturing method, image sensor, and electronic device
TWI585959B (en) Chip package and method thereof
WO2022087894A1 (en) Multichip packaging structure, manufacturing method, and electronic device
US11646270B2 (en) Multi-chip package and manufacturing method thereof
TWI775145B (en) Multi-chip package and manufacture method thereof
US20210273013A1 (en) Stacked image sensor device and method of forming same
CN109585434B (en) Wafer-level light sensing system packaging structure and manufacturing method thereof
WO2020172948A1 (en) Cmos image sensor package module and forming method therefor, and camera device
US20240145515A1 (en) Stacked integrated circuit dies and interconnect structures
TWI825846B (en) Package structure and manufacturing method thereof
WO2023195265A1 (en) Sensor device
CN220569663U (en) Chip packaging structure
WO2022179489A1 (en) Method for manufacturing semiconductor device, and semiconductor device and semiconductor package
WO2023042450A1 (en) Method for manufacturing semiconductor device, semiconductor device, and wiring board for semiconductor device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20914928

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20914928

Country of ref document: EP

Kind code of ref document: A1