WO2021092777A1 - Stacked chip, manufacturing method, image sensor, and electronic device - Google Patents

Stacked chip, manufacturing method, image sensor, and electronic device Download PDF

Info

Publication number
WO2021092777A1
WO2021092777A1 PCT/CN2019/117674 CN2019117674W WO2021092777A1 WO 2021092777 A1 WO2021092777 A1 WO 2021092777A1 CN 2019117674 W CN2019117674 W CN 2019117674W WO 2021092777 A1 WO2021092777 A1 WO 2021092777A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
chip
layer
wafers
chips
Prior art date
Application number
PCT/CN2019/117674
Other languages
French (fr)
Chinese (zh)
Inventor
姚国峰
沈健
Original Assignee
深圳市汇顶科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 深圳市汇顶科技股份有限公司 filed Critical 深圳市汇顶科技股份有限公司
Priority to CN201980002755.6A priority Critical patent/CN110945660B/en
Priority to PCT/CN2019/117674 priority patent/WO2021092777A1/en
Publication of WO2021092777A1 publication Critical patent/WO2021092777A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/1469Assemblies, i.e. hybrid integration

Definitions

  • This application relates to the field of semiconductor chips, and more specifically, to a stacked chip, a manufacturing method, an image sensor, and an electronic device.
  • the upper die and the lower die are stacked together in a wafer-to-wafer manner through a wafer-level bonding process (Wafer-level Bonding Process) to form a stacked Three-dimensional chip.
  • Wafer-level Bonding Process wafer-level Bonding Process
  • the upper and lower wafers have the same wafer size, and the number of upper wafers on the upper wafer is equal to the number of wafers on the lower wafer, but when the upper and lower wafers are not the same type of wafers, This stacking method will cause a waste of wafer area and increase the manufacturing cost of stacked chips.
  • the embodiments of the present application provide a stacked chip, a manufacturing method, an image sensor, and an electronic device, which can reduce the manufacturing cost of the stacked chip.
  • a stacked chip including:
  • the first wafer is set in the first groove
  • the first groove in the carrier wafer provides support and stability for the first wafer, so that a large-area second wafer can be stacked on a small-area first wafer, so that a stacked chip structure can be realized.
  • the stacked chip is an image sensor chip
  • the second chip is a pixel chip, and the pixel chip includes a pixel array for receiving optical signals and converting them into electrical signals;
  • the first chip is a logic chip, and the logic chip includes a signal processing circuit for processing the electrical signal.
  • the signal processing circuit in the image sensor chip and the pixel circuit are arranged separately, which can increase the photosensitive area on the pixel wafer in the image sensor chip, thereby reducing the cost of the stacked image sensor chip. At the same time, the performance of the image sensor can also be improved.
  • the surface area of the carrier wafer is equal to the surface area of the second wafer, and a stack is formed between the second wafer and the first wafer through wafer-level bonding.
  • the stacked chip in the manufacturing process, can be prepared by a wafer-level bonding process, and before the wafer-level bonding is performed, a single first chip and the on-wafer The second wafer is tested to screen out wafers with good performance and remove the wafers with poor performance to improve the overall chip yield and further reduce the overall manufacturing cost.
  • the rewiring layer is provided with a plurality of first electrical connection points electrically connected to each row of pixel units in the pixel array, and the position distribution of the plurality of first electrical connection points is consistent with that of the pixel.
  • the position distribution of a column of pixel units in the array is consistent; and/or, the rewiring layer is provided with a plurality of second electrical connection points electrically connected to each column of pixel units in the pixel array, The position distribution is consistent with the position distribution of a row of pixel units in the pixel array.
  • the logic chip is realized to each row and the pixel array in the pixel array.
  • the control of each column of pixels can further increase the photosensitive area of the image sensor chip.
  • the chip further includes a filling layer disposed between the first wafer and the first recess, the upper surface of the carrier wafer, and the upper surface of the first wafer. An area outside the metal circuit layer;
  • the filling layer is used to fix the first wafer in the first groove
  • the first metal circuit layer is the circuit layer of the first wafer.
  • the rewiring layer is disposed on the filling layer and the upper surface of the first metal circuit layer, and is used to electrically connect the first metal circuit layer and the second wafer.
  • the chip further includes an insulating dielectric layer covering the rewiring layer and the filling layer, and the upper surface of the insulating dielectric layer is bonded to the lower surface of the second wafer Together.
  • the filling layer is a dry film material layer that can be used for photolithography.
  • the chip further includes a through-hole interconnection structure, and the through-hole interconnection structure is used to electrically connect the second wafer and the first wafer.
  • the second chip includes a second metal circuit layer and a top metal circuit layer, wherein the second metal circuit layer is located inside the second chip, and the top metal circuit layer is located on the second chip.
  • the first via interconnection structure in the via interconnection structure connects the top metal circuit layer and the rewiring layer
  • the second via interconnection structure in the via interconnection structure connects the top metal circuit layer and the The second metal circuit layer, wherein the rewiring layer is electrically connected to the circuit layer of the first chip.
  • the chip further includes a first adhesive layer disposed on the lower surface of the first chip, and the first adhesive layer is used to bond the first chip to the first chip. In a groove.
  • the upper surface of the first wafer is not higher than the upper surface of the carrier wafer.
  • a second groove is further provided in the carrier wafer, and the chip further includes: a third wafer, and the third wafer is provided in the second groove;
  • the second chip is stacked above the first chip, the third chip, and the carrier chip, and the surface area of the second chip is larger than the sum of the surface areas of the first chip and the third chip.
  • the second wafer with a large area is stacked on top of the first wafer and the third wafer.
  • as many first and third wafers as possible can be grown on the wafer, reducing manufacturing costs.
  • the third chip, the first chip and the second chip are stacked by wafer-level bonding.
  • the space in the stacked chips can be fully utilized, and the second wafer can be bonded on top of the first and third wafers by a single wafer bonding process, instead of using two wafers.
  • the circular bonding process three wafers are bonded sequentially, thereby further reducing the process cost.
  • a single first wafer and a single third wafer can be tested to screen out wafers with good performance, remove the wafers with poor performance, and improve the quality of the overall chip. Rate, further reducing the overall manufacturing cost.
  • the first wafer is electrically connected to the third wafer through the rewiring layer, and the second wafer is electrically connected to the third wafer through a via interconnection structure.
  • the third chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for storing electrical signals generated by the first chip and/or the second chip.
  • the memory chip can be integrated into the stacked chip, the signal processing capability and processing speed of the chip can be improved, and the chip performance can be further optimized.
  • the second wafer is a pixel wafer in an image sensor chip
  • the pixel array of the pixel wafer is close to the upper surface of the pixel wafer
  • a filter layer and/or a light filter layer are disposed on the pixel array.
  • Micro lens array is a pixel wafer in an image sensor chip
  • the pixel array in the pixel wafer is close to the upper surface of the pixel wafer, and the pixel wafer is a back-illuminated image sensing structure, which can increase the intensity of the light signal received by the pixel array.
  • the material of the carrier wafer is any one of silicon, glass, and ceramic.
  • a method for manufacturing a stacked chip including:
  • the plurality of second wafers correspond to the plurality of first wafers one-to-one, and are respectively stacked above the plurality of first wafers, and the surface area of each second wafer of the plurality of second wafers is larger than the The surface area of each first wafer in the plurality of first wafers.
  • the first groove in the carrier wafer provides support and stability for a plurality of first wafers, and a second wafer including a plurality of second wafers is stacked on the carrier wafer, thereby realizing a large area
  • the second chip is stacked on the small-area first chip. While realizing the stacked chip structure, it is also possible to manufacture as many small-area first chips on the wafer as possible, reducing the cost of a single first chip, thereby reducing The overall manufacturing cost.
  • the stacking the second wafer on the carrier wafer on which the rewiring layer is formed includes:
  • the second wafer is bonded above the carrier wafer on which the rewiring layer is formed by using a wafer bonding process, wherein the surface area of the second wafer is equal to the surface area of the carrier wafer.
  • the wafer bonding process is used to bond two types of wafers of different sizes. Before bonding, a single first wafer and multiple second wafers on the second wafer are tested to The wafers with good performance are screened out, and the wafers with poor performance are removed, so as to improve the yield of the overall chip and further reduce the overall manufacturing cost.
  • the stacked chip is an image sensor chip
  • the plurality of second chips are pixel chips
  • each of the plurality of second chips includes a pixel array for receiving optical signals and converting them into electrical signals ;
  • the plurality of first chips are logic chips, and each of the plurality of first chips includes a signal processing circuit for processing the electrical signal.
  • the manufacturing method further includes:
  • the pixel arrays of the plurality of second wafers in the second wafer are close to the upper surface of the second wafer after the thinning process.
  • the manufacturing method further includes: preparing filters on the pixel arrays of the plurality of second wafers in the second wafer. Layer and/or micro lens array.
  • the solution of the embodiment of the present application can reduce the process procedure and reduce the process cost without affecting the overall performance of the pixel chip.
  • the manufacturing method further includes:
  • the filling material is heated in a vacuum environment to form a stable filling layer.
  • the preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed includes:
  • Windowing is performed on the filling layer to remove the local filling layer above the plurality of first metal circuit layers on the upper surface of the plurality of first wafers, wherein the plurality of first metal circuit layers are in the plurality of first wafers ⁇ ;
  • the rewiring layer is prepared above the filling layer and the plurality of first metal circuit layers, and the rewiring layer is used to electrically connect the plurality of first metal circuit layers and the plurality of second chips in the second wafer.
  • the stacking the second wafer on the carrier wafer on which the rewiring layer is formed includes:
  • a wafer bonding process is used to bond the upper surface of the insulating dielectric layer and the lower surface of the second wafer.
  • the bonding the upper surface of the insulating dielectric layer and the lower surface of the second wafer using a wafer bonding process includes:
  • the upper surface of the insulating dielectric layer and the lower surface of the second wafer are planarized, wherein the flatness of the upper surface of the insulating dielectric layer and the lower surface of the second wafer after the planarization is equal to that of the lower surface of the second wafer. /Or the roughness meets the preset threshold;
  • the upper surface of the insulating dielectric layer is attached to the lower surface of the second wafer, and high-temperature annealing is performed to bond the upper surface of the insulating dielectric layer and the lower surface of the second wafer.
  • the filling material is a dry film material that can be used for photolithography.
  • the fixing the plurality of first wafers in the plurality of first grooves of the carrier wafer includes:
  • the lower surfaces of the plurality of first wafers are respectively provided with a first adhesive layer, and the lower surfaces of the plurality of first wafers are bonded in the plurality of first grooves through the first adhesive layer.
  • the upper surfaces of the plurality of first wafers are not higher than the upper surface of the carrier wafer.
  • electrically connecting the plurality of second chips in the second wafer after the stack to the plurality of first chips through the rewiring layer includes:
  • a plurality of through-hole interconnection structures are prepared in the stacked second wafers and the plurality of first wafers, and the through-hole interconnection structures are used to connect a plurality of second metal layers through a plurality of top metal wiring layers.
  • the circuit layer is electrically connected to the rewiring layer;
  • the rewiring layer is electrically connected to the circuit layers of the plurality of first wafers, the plurality of second metal circuit layers are circuit layers in the plurality of second wafers, and the plurality of top metal circuit layers are disposed on the plurality of circuit layers.
  • the manufacturing method before preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed, the manufacturing method further includes:
  • the preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed includes:
  • the manufacturing method further includes:
  • the plurality of second chips correspond to the plurality of third chips one-to-one, the plurality of second chips are stacked above the plurality of first chips, the plurality of third chips, and the carrier wafer, and the The surface area of each second wafer in the plurality of second wafers is greater than the sum of the surface area of a first wafer in the plurality of first wafers and a third wafer in the plurality of third wafers.
  • the plurality of first wafers are electrically connected to the plurality of third wafers through the rewiring layer, and the plurality of second wafers are electrically connected to the plurality of third wafers through a plurality of through-hole interconnect structures.
  • the chip is electrically connected.
  • the plurality of third chips are a plurality of memory chips, and include a storage circuit for storing electrical signals generated by the plurality of first chips and/or the plurality of second chips.
  • the substrate material of the carrier wafer is any one of silicon, glass, and ceramic.
  • an image sensor including: a stacked chip as in the first aspect or any possible implementation of the first aspect.
  • an electronic device including: a stacked chip as in the first aspect or any possible implementation of the first aspect.
  • the manufacturing cost of the chip is reduced, thereby reducing the overall manufacturing cost of the image sensor or the electronic device.
  • FIGS. 1 to 3 are schematic structural diagrams of three complementary metal oxide semiconductor image sensor chips according to embodiments of the present application.
  • FIG. 4 is a schematic distribution diagram of a plurality of pixel wafers on a pixel wafer according to an embodiment of the present application.
  • FIG. 5 is a schematic distribution diagram of a plurality of logic chips on a logic wafer according to an embodiment of the present application.
  • FIG. 6 is a schematic diagram of the split structure of a stacked chip according to an embodiment of the present application.
  • Fig. 7 is a schematic cross-sectional view of a stacked chip according to an embodiment of the present application.
  • Fig. 8 is a schematic cross-sectional view of another stacked chip according to an embodiment of the present application.
  • FIG. 9 is a schematic diagram of the split structure of another stacked chip according to an embodiment of the present application.
  • Fig. 10 is a schematic cross-sectional view of another stacked chip according to an embodiment of the present application.
  • Fig. 11 is a schematic flow chart of a method for manufacturing a stacked chip according to an embodiment of the present application.
  • FIG. 12 is a schematic distribution diagram of a plurality of first grooves on a carrier wafer according to an embodiment of the present application.
  • FIG. 13 is a schematic flow chart of another method for manufacturing a stacked chip according to an embodiment of the present application.
  • 14 to 20 are partial cross-sectional views of a wafer after multiple process steps according to an embodiment of the present application.
  • FIG. 21 is a schematic flowchart of another method for manufacturing a stacked chip according to an embodiment of the present application.
  • Fig. 22 is a schematic structural block diagram of an image sensor implemented according to the present application.
  • Fig. 23 is a schematic structural block diagram of an electronic device implemented according to the present application.
  • the size of the sequence number of each process does not mean the order of execution.
  • the execution order of each process should be determined by its function and internal logic, and should not correspond to the embodiments of the present application.
  • the implementation process constitutes any limitation.
  • the technical solution of the embodiment of the present application may be applied to various image sensor chips, such as a biometric image sensor or an image sensor in a photographing device, but the embodiment of the present application is not limited thereto.
  • the chip provided in the embodiments of the present application can be used in mobile terminals such as smart phones, cameras, and tablet computers, or in other electronic devices such as servers and supercomputers.
  • FIGS 1 to 3 show schematic structural diagrams of three complementary metal oxide semiconductor (Complementary Metal Oxide Semiconductor, CMOS) image sensor chips 10.
  • CMOS image sensor chip is a sensor chip that can convert optical images into digital signals. , It is widely used in various fields such as digital products, mobile terminals, security monitoring and scientific research industry.
  • the image sensor chip 10 provided in the embodiment of the present application can be applied to a photographing device of an electronic device, for example, a front or rear camera of a mobile phone.
  • FIG. 1 shows a schematic structural diagram of a conventional image sensor chip 10.
  • the image sensor chip 10 is manufactured on a single wafer 100.
  • the image sensor 10 on the wafer 100 can be roughly divided into two areas: a pixel array area 110 and a processing circuit area 120.
  • the pixel array area 110 includes a pixel array composed of a plurality of CMOS pixel units for receiving light signals and converting the light signals into corresponding electrical signals.
  • the total number of pixels in the pixel array area 110 of the image sensor 10 is one of the main technical indicators for measuring the image sensor, which determines the photosensitive performance, resolution and other factors of the image sensor. Therefore, it generally occupies a larger area and is optional.
  • the pixel array area 110 occupies more than 70% of the area of the entire wafer 100.
  • each pixel unit is composed of a photo-diode (PD) and one or more CMOS switch tubes. Therefore, the pixel array area 110 has fewer device types, relatively simple circuit structure, and device process requirements. Relatively low, for example, the 65nm process can meet the design requirements of the pixel array area.
  • the processing circuit area 120 may include a control circuit for controlling the pixel array, a signal processing circuit for processing electrical signals generated by the pixel array, an analog-to-digital conversion circuit, a digital processing circuit and other functional circuits for working with the pixel array to generate digital images. signal.
  • the processing circuit area 120 occupies a small area on the entire wafer 100, but in these functional circuits, such as digital processing circuits, due to the need to implement more complex functions, the circuit structure is relatively complex, the device types are many and the integration is high, so The process requirements are relatively high. For example, processes of 45nm and below are required to meet the design requirements of functional circuits, and the processing costs of these processes are higher.
  • FIG. 2 shows a schematic structural diagram of a stacked image sensor chip 10.
  • the image sensor chip 10 is formed by stacking two upper and lower wafers.
  • the pixel array area 110 is located on the first wafer 101 and is used to obtain optical signals and convert them into electrical signals.
  • the second wafer 102 contains a processing circuit area 120 composed of a large number of analog and digital circuits, including a signal processing circuit and a control circuit, the signal processing circuit is used to process electrical signals, and the control circuit is used to control the pixel array Pixel work.
  • the first chip 101 may be called a pixel die, and the corresponding wafer may be called a pixel wafer; and the second chip 102 may be called a logic die.
  • the corresponding wafer is called logic wafer (Logic Wafer) or image signal processing wafer (Image Signal Processing Wafer, ISP Wafer).
  • logic wafer Logic Wafer
  • image signal processing wafer Image Signal Processing Wafer, ISP Wafer.
  • the shape and size of the pixel chip and the logic chip are exactly the same.
  • the pixel chip and the logic chip are completely overlapped in the vertical direction.
  • FIG. 3 shows a schematic structural diagram of another stacked image sensor chip 10.
  • the image sensor chip 10 is formed by stacking three layers of wafers, and from top to bottom are the pixel wafer 101, the memory wafer 103, and the logic wafer 102, respectively. The shapes and sizes of the three types of wafers are completely the same.
  • the memory chip 103 includes a storage circuit 130 for storing electrical signals generated by the pixel array and/or processing circuit.
  • the circuit structure of the memory circuit is relatively complicated, the integration is high, and the line width and line spacing are small. Therefore, a higher process is also required for manufacturing.
  • the storage circuit may be a dynamic random access memory (Dynamic Random Access Memory, DRAM) circuit. It should be understood that the storage circuit may also be other types of storage circuits, such as other random access memory (RAM) circuit or read only memory (ROM) circuit, which is not done in the embodiment of the application. Any restrictions.
  • DRAM Dynamic Random Access Memory
  • RAM random access memory
  • ROM read only memory
  • the stacked image sensor in Figures 2 and 3 has three advantages: First, the pixel array area and the processing circuit area will not occupy each other's space, so more can be placed Pixels, improve the photosensitive performance, resolution and so on of the image sensor. The second is that logic wafers can be made with more advanced process nodes, which will increase transistor density and computing power, so that stacked image sensor chips can provide more functions, such as hardware high dynamic range imaging (High Dynamic Range Imaging). , HDR), slow motion shooting, etc. The third is that the storage function can be integrated in the image sensor to achieve faster data reading speed. Therefore, stacked image sensors currently dominate high-end image sensors.
  • HDR High Dynamic Range Imaging
  • a plurality of pixel wafers 101 are prepared on the pixel wafer 11, and each pixel wafer includes a pixel array area 110, and most of the area in the pixel wafer 101 is occupied by the pixel array area 110.
  • the shape and size of the logic wafer 12 and the pixel wafer 11 are exactly the same, and a plurality of logic wafers 102 are prepared on the logic wafer 12.
  • the plurality of logic wafers 102 have the same size and correspond to the plurality of pixel wafers 101 one-to-one.
  • each pixel wafer in the pixel wafer 11 is aligned with a logic wafer in the logic wafer 12, so that one pixel wafer is aligned and bonded Above a logic chip.
  • Each logic chip 102 includes a processing circuit area 120. Only part of the area of the logic chip 102 is occupied by the processing circuit area 120. Therefore, part of the space on the logic wafer 102 is wasted. In addition, part of the failed or faulty chips on the pixel wafer 11 and the logic wafer 12 may be forcibly bonded to a good chip, resulting in chip failure after bonding and affecting the overall yield.
  • the stacked image sensor chip includes a memory chip
  • the wafer corresponding to the memory chip is a memory wafer
  • the distribution of the chips on the memory wafer is similar to the distribution of the logic chips on the logic wafer 12 in FIG.
  • the shape and size of the wafer, the pixel wafer and the logic wafer are exactly the same.
  • the memory wafer is stacked on top of the logic wafer
  • the pixel wafer is stacked on top of the memory wafer.
  • the three are in the vertical direction. They are completely overlapped, and one pixel chip in the pixel wafer, one memory chip in the memory wafer, and one logic chip in the logic wafer are in one-to-one correspondence.
  • a plurality of pixel wafers are grown on the pixel wafer, and a microlens array is formed on the upper surface of the microlens array.
  • the multiple logic chips are cut, and then the multiple logic chips are bonded to the bottom surface of the pixel wafer.
  • the pixel wafer needs to be placed upside down. That is, the upper surface provided with the micro lens array faces downwards and the lower surface faces upwards, so that electrical connections between a plurality of small logic chips and pixel wafers can be realized.
  • this application proposes a stacked chip structure.
  • a stacked chip structure By making full use of the size of the wafer, more wafers are prepared, and wafer-level bonding of wafers of different sizes is performed, so as to achieve stacked chips at the same time.
  • FIG. 6 shows a schematic diagram of the split structure of a stacked chip according to an embodiment of the present application.
  • the stacked chip 20 includes:
  • a carrier wafer 200 in which a first groove 201 is provided;
  • the first wafer 210 is arranged in the first groove 201;
  • the second wafer 220 is stacked above the first wafer 210 and the carrier wafer 200, and the surface area of the second wafer 220 is larger than the surface area of the first wafer 210.
  • the first wafer 210 and the second wafer 220 have a sheet-like structure, and therefore, have a small thickness.
  • the surface area of the first wafer 210 is the upper surface area or the lower surface area of the first wafer 210.
  • the upper surface area and the lower surface area of the first wafer 210 are equal.
  • the surface area of the second wafer 220 is also the upper surface area or the lower surface area of the first wafer 210.
  • the carrier wafer 200 is a substrate wafer with a thickness greater than that of the first wafer 210, and the carrier wafer 200 is used to carry the first wafer 210 and the second wafer 220.
  • the carrier wafer may be silicon, glass, Ceramics or other arbitrary materials are not limited in the embodiments of the present application.
  • the carrier wafer 200 is monocrystalline silicon.
  • the above-mentioned first chip 210 and the second chip 220 are used to implement different circuit functions.
  • the first chip 210 may It is the pixel chip 101 in FIG. 1 described above
  • the second chip 220 may be the logic chip 102 or the memory chip 103 in FIG. 1 described above.
  • the second chip 220 is a logic chip
  • the second chip includes a processing circuit area 120 composed of a large number of analog and digital circuits, including a signal processing circuit and a control circuit, and the signal processing circuit is used to process electrical signals ,
  • the control circuit is used to control the work of the pixels in the pixel array.
  • the stacked chip 20 is a processor chip
  • the first chip 210 may be a central processing unit (CPU) chip
  • the second chip 220 may be a graphics processing unit (GPU) chip , Or other control processing wafers.
  • the stacked chip 20 may be chips in a variety of different fields, in which the first chip and the second chip are functional chips that implement corresponding circuit functions, and the circuit functions of the first chip and the second chip are different.
  • the shape and size of the first groove 201 in the carrier wafer 200 may be the same as or slightly larger than the shape and size of the first wafer 210.
  • the shape and size of the first groove 201 in the carrier wafer 200 The cross-sectional area may be the same as or slightly larger than the surface area of the first wafer 210.
  • the first wafer 210 has a sheet structure
  • the depth of the first groove 201 is the same as the thickness of the first wafer 210 or slightly larger than the thickness of the first wafer 210
  • the length and width of the first groove 201 are also It is slightly larger than the length and width of the first wafer 210 respectively, so that the first groove 201 can completely accommodate the first wafer 210 therein.
  • the length, width, and depth of the first groove 201 are 25 ⁇ m larger than the length, width, and height of the first wafer 210 respectively, or any other value, which is not limited in the embodiment of the present application.
  • the carrier wafer 200 in the embodiment of the present application is the first wafer.
  • the first wafer 210 and the second wafer 220 provide support. Therefore, when the second wafer 220 is stacked on the first wafer 210, the second wafer 220 is also stacked on the carrier wafer 200.
  • the second chip 220 may be stacked on the first chip 210 through a wafer-level bonding process.
  • the second wafer 220 may also be directly bonded and fixed on the carrier wafer 200, or the second wafer 220 may be fixed by other fixing methods.
  • the two wafers 220 are stably fixed on the carrier wafer 200, which is not limited in the embodiment of the present application.
  • a re-distribution layer (RDL) 214 is provided between the second wafer 220 and the carrier wafer 200 and the first wafer 210, and the second wafer 220 communicates with the first wafer through the re-distribution layer 214.
  • the rewiring layer 214 is used to connect the Input Output (IO) ports of the first chip 210 and re-layout the IO ports of the first chip 210, which can improve the reliability of interconnection between the chips.
  • the second wafer 220 is connected to the first wafer 210 by being connected to the rewiring layer 214.
  • the surface area of the carrier wafer 200 is equal to the surface area of the second wafer 220, and the second wafer 220 and the first wafer 210 are stacked by wafer-level bonding.
  • the signal processing circuit and the control circuit in the logic chip are electrically connected to the pixel chip through the rewiring layer.
  • the first groove in the carrier wafer is used to provide support and stability for the first wafer, so that a large-area second wafer can be stacked on a small-area first wafer, so that the stacking chip structure can be implemented.
  • the first chip is not bonded to the second chip in the manner of a wafer, but a single chip is placed in the first groove of the carrier chip.
  • the carrier chip and the second chip can be the carrier wafer and the second chip respectively.
  • the wafers on the wafer, the carrier wafer, and the second wafer are bonded at the wafer level. Therefore, a single first wafer can be tested to screen out wafers with good performance before wafer-level bonding. Removal of poor-performance wafers improves the overall chip yield and further reduces the overall manufacturing cost. Third, it is also possible to test multiple second wafers on the second wafer before wafer-level bonding, and screen out second wafers with good performance. At the position of the groove, placing a substitute of the same size as the first wafer without placing the first wafer can also increase the overall chip yield and reduce manufacturing costs.
  • FIG. 7 shows a schematic cross-sectional view of a stacked chip 20 according to an embodiment of the present application.
  • the first wafer 210 is at the bottom of the first groove 201 through the adhesive layer 211 to stably fix the first wafer 210 in the first groove 201 .
  • the adhesive layer includes but is not limited to die attach film (DAF).
  • DAF die attach film
  • the thickness of the adhesive layer 211 is d1 and the height of the first wafer 210 is d2
  • the sum d1+d2 of the thickness of the first wafer 210 and the adhesive layer 211 is less than or equal to the depth d0 of the first groove 201, in other words, the first wafer 210
  • the upper surface of 210 is not higher than the upper surface of the carrier wafer.
  • the difference between d1+d2 and d0 may be between 2 ⁇ m and 5 ⁇ m, or may be other values, which is not limited in the embodiment of the present application.
  • the gap between the first wafer 210 and the first groove 201 may be filled with a filling layer 212 to further stably fix the first wafer 210 in the first groove 201.
  • the filling layer 212 includes, but is not limited to, a polymer organic material, such as a dry film (Dry Film) material or other polymer materials with good fluidity.
  • the filling layer 212 can be a dry film material that can be photoetched, and can fill the space between the first wafer 210 and the first groove 201 without cavities under vacuum and heating conditions, and A photolithographic material is used as the filling layer, while filling and fixing the gap between the first groove and the first wafer, it can also facilitate the process and save the manufacturing time of the chip.
  • the first wafer 210 includes a first metal circuit layer 213, and the first metal circuit layer 213 is located on the surface of the first wafer 210, specifically the IO port of the first wafer 210, for It is electrically connected with other electrical components, for example, with the second wafer 220.
  • the above-mentioned filling layer 212 may also cover the upper surface of the carrier wafer 200 and a part of the upper surface of the first wafer 210 except for the first metal circuit layer 213.
  • the rewiring layer 214 described above is formed on the first metal circuit layer 213 and the filling layer 212.
  • the rewiring layer 214 is also a metal wiring layer, which is in contact with the first metal on the surface of the first wafer 210.
  • the circuit layer 213 is in contact to form an electrical connection between the two.
  • the stacked chip may also include multiple rewiring layers 214. If the stacked chip 20 includes multiple rewiring layers 214, an insulating dielectric layer is formed between the multiple rewiring layers 214, and the multiple rewiring layers 214 can form electrical connections with each other.
  • the lowermost rewiring layer 214 in 214 may be the same as the rewiring layer 214 in FIG. 7.
  • an insulating dielectric layer 215 is further formed to cover the entire area of the at least one rewiring layer 214 and the filling layer 212.
  • the upper surface of the layer 215 is a flat surface with flatness and roughness that meets certain threshold requirements, so as to reduce the influence of the unevenness of the stack topography caused by the at least one rewiring layer 214, so that the second wafer 220 and the first The bonding of the wafer 210 is stable.
  • the material of the insulating dielectric layer 215 includes, but is not limited to, an insulating medium such as silicon oxide, and the specific material is not limited.
  • the lower surface of the second wafer 220 is bonded to the insulating dielectric layer 215 above the first wafer 210.
  • the lower surface of the second wafer 220 is also a flat surface, and also has flatness and roughness that meet a certain threshold requirement, so that the bonding between the second wafer 220 and the insulating dielectric layer 215 is stable.
  • the electrical connection between the first wafer 210 and the second wafer 220 may be achieved through a through-hole interconnection structure, such as a Through Silicon Via (TSV) interconnection structure.
  • TSV Through Silicon Via
  • the through-hole interconnection structure is a high-density packaging technology. Vertical through holes are made between the wafer and the wafer, and the through holes are filled with conductive materials such as polysilicon, copper, and tungsten. The through holes are used to complete the gap between the wafers.
  • through-hole technology can reduce the length of interconnection, reduce signal delay, reduce capacitance/inductance, realize low power consumption between chips, high-speed communication, increase broadband and realize miniaturization of device integration.
  • the through-hole interconnection structure can be an interconnection structure of other materials, such as a gallium nitride through-hole interconnection structure, a resin through-hole interconnection structure, etc., in addition to the through-silicon via interconnection structure.
  • the embodiments of the present application do not limit the specific materials of the through-hole interconnection structure.
  • the through-silicon-via interconnection structure is used as an example for illustration. For other types of through-hole interconnection structures, reference may be made to related descriptions, which will not be repeated here.
  • a top metal circuit layer 223 is formed on the surface of the second wafer 220.
  • the top metal circuit layer may include a metal pad, and a second metal is also formed inside the second wafer 220.
  • the circuit layer 222, and the second metal circuit layer 222 is used to transmit electrical signals of the second chip 220.
  • the TSV interconnect structure includes a first TSV 2241 and a second TSV 2242, wherein the first TSV interconnect structure 2241 is connected to the top metal circuit layer 223 and The rewiring layer 214 above the first wafer 210 and the second through silicon via structure 2242 are connected to the top metal circuit layer 223 and the second metal circuit layer 222 inside the second wafer 220.
  • the second metal circuit layer 222 in the second wafer 220 is connected to the rewiring layer 214 of the first wafer 210 through the through silicon via interconnection structure, thereby achieving electrical connection between the first wafer 210 and the second wafer 220.
  • the metal pads on the surface of the second wafer 220 are also used to connect the second wafer 220 with other electrical devices.
  • the metal pads can be connected to a printed circuit board (PCB) or other types of circuit substrates by wire bonding (WB).
  • the stacked chip 20 may be a memory chip, wherein the first chip 210 is a logic chip, and the logic chip includes a processing circuit in the memory chip for controlling signals. And deal with it.
  • the second chip 220 is a storage chip and includes a storage circuit, which is used for data storage.
  • a plurality of second chips may be stacked on the carrier chip 200 and the first chip 210, that is, logic A plurality of storage chips are stacked above the chip to achieve a larger storage space for the storage chips.
  • the stacked chip 20 may also be a stacked image sensor chip, wherein the second chip 220 may be a pixel chip, and the first chip 210 may be a logic chip.
  • the chip may also be a memory chip.
  • the pixel chip, logic chip, and memory chip may be the same as the pixel chip 101, logic chip 102, and memory chip 103 in FIG. Go into details.
  • each row of pixel units in the pixel array of the pixel chip can be connected to a row drive circuit on the logic chip, and the row drive circuit is used to drive each row of pixel units in the pixel chip to work in sequence and receive Light signal.
  • each column of pixel units in the pixel array can also be connected to a column control circuit on the logic chip, and the column control circuit is used to drive the signal transmission of each column of pixel units in the pixel chip.
  • the pixel unit in the pixel wafer can be connected to the IO interface in the logic wafer through the rewiring layer 214.
  • the rewiring layer 214 is provided with a plurality of first electrical connection points electrically connected to each row of pixel units in the pixel array, and the position distribution of the plurality of first electrical connection points is consistent with the position distribution of a column of pixel units in the pixel array
  • the rewiring layer 214 is provided with a plurality of second electrical connection points electrically connected to each column of pixel units in the pixel array, and the position distribution of the multiple second electrical connection points is consistent with the position of a row of pixel units in the pixel array The distribution is consistent.
  • each row of pixel units are connected to the rewiring layer 214, and the positions of the first electrical connection points of each row of pixel units connected to the rewiring layer 214 correspond to the positions below each row of pixels, and a column of pixel units can be formed. Distribute the same connection points.
  • all the circuits in the pixel chip except the pixel unit can be arranged in the logic chip, and the IO ports are redistributed through the rewiring layer above the logic chip and connected to each row of pixels.
  • Unit or each column of pixel units thereby further increasing the area of the pixel array on the pixel chip and improving the sensitivity of the image sensor.
  • the pixel chip may also include other related control circuits besides the pixel array, such as the row control circuit and the column control circuit mentioned above.
  • the number of interconnected ports between the pixel chip and the logic chip can be reduced, and the stability of the chip can be improved. .
  • FIG. 8 shows a schematic cross-sectional view of a stacked image sensor chip 20 according to an embodiment of the present application.
  • the second wafer 220 is a pixel wafer, and the second wafer 220 may be a back-illuminated (BI) image sensor structure or a traditional front-illuminated image sensor structure.
  • BI back-illuminated
  • the second chip 220 in addition to the above-mentioned second metal circuit layer 222 and the top metal circuit layer 223 on the surface of the second chip 220, the second chip 220 also includes a pixel array circuit that includes a plurality of pixel units 221 , Used to receive optical signals and perform optical imaging. If the second chip 220 is a back-illuminated image sensor structure, the plurality of pixel units 221 in the second chip 220 are close to the upper surface of the second chip 220 and can receive a sufficient amount of light signals and generate relatively large electrical signals. In addition, the second metal circuit layer 222 in the second chip 220 is located under the plurality of pixel units 221.
  • the plurality of pixel units 221 are located under the second metal circuit layer 222 in the second chip 220, and are far away from the upper surface of the second chip 220, and the received The amount of light signal is weak, and the quality of the electrical signal produced by it is poor.
  • the upper surface of the second wafer 220 is further provided with a filter layer 227 and a microlens array 226.
  • the filter layer 227 and the microlens array 226 are provided on the plurality of pixel units 221. Directly above.
  • each microlens in the microlens array 226 corresponds to one pixel unit of the plurality of pixel units 221.
  • the pixel unit 221 is used to receive the optical signal condensed by the microlens and processed by the filter layer 227, and perform optical imaging based on the optical signal.
  • each microlens in the microlens array 226 is a round lens or a square lens, and its upper surface is a spherical or aspherical surface, and the focal point of each microlens can be located on its corresponding pixel unit.
  • the filter layer 227 may be a color filter unit.
  • the filter layer 227 includes three color filter units for transmitting red light signals, blue light signals, and green light signals, respectively.
  • One color filter unit corresponds to at least one micro lens and at least one pixel unit.
  • the filter layer 227 can also be a filter used to filter visible light and block non-visible light, which can reduce the interference of the infrared band in the environment on optical imaging.
  • the filter wavelength band of the filter layer can be any light waveband, and the wavelength range can be set according to actual imaging requirements, which is not limited in the embodiment of the present application.
  • FIG. 9 shows a schematic diagram of the split structure of another stacked chip 20 according to an embodiment of the present application.
  • the stacked chip 20 further includes:
  • the third wafer 230 is disposed in the second groove 202 of the carrier wafer 200 described above.
  • the above-mentioned second wafer 220 is stacked above the third wafer 230, and the area of the second wafer 220 is larger than that of the third wafer 230.
  • the third chip 230, the first chip 210, and the second chip 220 are stacked by wafer-level bonding.
  • the surface area of the second wafer 220 is greater than the sum of the surface area of the first wafer 210 and the surface area of the third wafer 230.
  • the first wafer 210 and the third wafer 230 are completely located in the projection of the second wafer 220 in the vertical direction.
  • the shape and size of the second groove 202 in the carrier wafer 200 may be the same as the shape and size of the third wafer 230 or slightly larger than the third wafer 230.
  • the third wafer 230 has a sheet structure
  • the depth of the second groove 202 is the same as the thickness of the third wafer 230 or slightly larger than the thickness of the third wafer 230
  • the length and width of the second groove 202 are also They are slightly larger than the length and width of the third wafer 230 respectively, so that the second groove 202 can completely accommodate the third wafer 230 therein.
  • the length, width, and depth of the second groove 202 are larger than the length, width, and height of the third wafer 230 by 25 ⁇ m, or any other value, which is not limited in the embodiment of the present application.
  • the third chip 230 may be used to implement circuit functions different from those of the first chip 210 and the second chip 220.
  • the first chip 210 may be the pixel chip 101 in FIG. 1 described above
  • the second chip 220 and the third chip 230 may be the logic chip 102 and the memory chip 103 in FIG. 1 described above, respectively.
  • the stacked chip 20 may also be a chip in a variety of other different fields, such as a memory chip, a processing chip, etc., wherein the first chip, the second chip, and the third chip are functional chips that implement corresponding circuit functions. And the circuit functions of the first chip, the second chip, and the third chip are different.
  • the large area of the second wafer 220 is stacked on the first wafer 210 and the third wafer 230.
  • the space in the stacked chips can be fully utilized, and the second wafer 220 can be bonded on top of the first wafer 210 and the third wafer 230 by one wafer bonding process, instead of using two wafer bonding processes , The three wafers are bonded sequentially, thereby further reducing the process cost.
  • first wafer 210 and a single third wafer 230 before bonding to screen out wafers with good performance, remove wafers with poor performance, and improve the overall chip yield. , To further reduce the overall manufacturing cost.
  • second wafers 220 on the second wafer before wafer-level bonding to screen out second wafers with good performance, and to select the first wafer corresponding to the second wafer with poor performance.
  • placing substitutes of the same size as the first and third wafers instead of placing the first and third wafers can also increase the overall chip yield and reduce manufacturing costs .
  • FIG. 10 shows a schematic cross-sectional view of another stacked image sensor chip 20 according to an embodiment of the present application.
  • the second wafer 220 is a pixel wafer.
  • the second wafer 220 may be a back-illuminated image sensor structure or a traditional front-illuminated image sensor structure.
  • FIG. 7 or FIG. 8 For the related technical solution of the second wafer 220, reference may be made to the related description in FIG. 7 or FIG. 8, which will not be repeated here.
  • the first chip 210 and the third chip 230 may be a logic chip and a memory chip, respectively.
  • the related technical solutions of the first wafer 210 reference may also be made to the related description in FIG. 7 or FIG. 8, which will not be repeated here.
  • the third wafer 230 is at the bottom of the second groove 202 through the adhesive layer 231 to stably fix the third wafer 230 in the second groove 202.
  • the adhesive layer includes, but is not limited to, a wafer bonding film.
  • the thickness of the adhesive layer 231 is d'1 and the height of the third wafer 230 is d'2
  • the sum d'1+d'2 of the thickness of the third wafer 230 and the adhesive layer 231 is less than or equal to the second concave
  • the depth d'0 of the groove 202 optionally, the difference between d'1+d'2 and d'0 can be between 2-5 ⁇ m, or other values, which is not limited in the embodiment of the present application.
  • the gap between the third wafer 230 and the second groove 202 can also be filled with a filling layer 212 to further stably fix the third wafer 230 in the second groove 202.
  • the third wafer 230 includes a third metal circuit layer 233, and the third metal circuit layer 233 is located on the surface of the third wafer 230 and is used to interact with other electrical components, such as the second wafer. 220 makes electrical connections.
  • the above-mentioned filling layer 212 may also cover a part of the upper surface of the third wafer 230 except for the third metal circuit layer 233.
  • At least one rewiring layer 214 is also formed on the third metal circuit layer 233 and the filling layer 212, which is used to connect the third metal circuit layer 233 of the third wafer 230 and other electrical components.
  • the rewiring layer 214 may be laterally connected to the first metal circuit layer 213 on the surface of the first wafer 210 and the third metal circuit layer 233 on the surface of the third wafer.
  • the interface position of the third metal circuit layer 233 in the third chip can be re-layout, which can improve the reliability of the interconnection between the chips.
  • the insulating dielectric layer 215 completely covers the rewiring layer 214 and the filling layer 212 above the first wafer 210 and the third wafer 230.
  • the upper surface of the insulating dielectric layer 215 and the lower surface of the second wafer 220 are both flat surfaces, and the two can be bonded together by a bonding process.
  • the third wafer 230 is also electrically connected to the second wafer 220 through a through silicon via interconnection structure.
  • the multiple first TSV interconnect structures 2241 in the TSV interconnect structure are connected to the top metal line layer 223 and the rewiring layer 214.
  • one first TSV interconnect structure 2241 is connected to the first TSV interconnect structure.
  • the rewiring layer 214 above the wafer 210 is connected to the first metal circuit layer 213 on the surface of the first wafer 210 through the rewiring layer 214.
  • the other first TSV interconnect structure 2241 is connected to the rewiring layer 214 above the third wafer 230, and is connected to the third metal wiring layer 233 on the surface of the third wafer 230 through the rewiring layer 214.
  • the multiple second TSV interconnect structures 2242 in the TSV interconnect structure are connected to the top metal circuit layer 223 and the second metal circuit layer 222 in the second wafer 220. Therefore, the second metal circuit layer 222 in the second wafer 220 is connected to the rewiring layer 214 through the through silicon via interconnection structure, thereby achieving electrical connection between the third wafer 230 and the second wafer 220 and the first wafer 210 and the second wafer 210 The electrical connection of the two chips 220.
  • the top metal circuit layer 223 is also used to connect the second chip 220 and other electrical devices.
  • the device embodiment of the stacked chip of the present application is described in detail above, and the following describes the embodiment of the method of manufacturing the stacked chip of the present application in detail with reference to Figs. 11 to 21. It should be understood that the device The embodiment and the method embodiment correspond to each other, and the similar description can refer to the device embodiment.
  • Fig. 11 is a schematic flow chart of a method for manufacturing a stacked chip.
  • the manufacturing method 200 of the stacked chip may include the following steps.
  • S210 Fix a plurality of first wafers in a plurality of first grooves of the carrier wafer.
  • each of the plurality of first wafers may be the same as the first wafer 210 in the foregoing device embodiment.
  • the carrier wafer may be the same as the carrier wafer 21 in the above device embodiment.
  • the plurality of first grooves may be the same as the first grooves 201 in the above-mentioned device embodiment.
  • a plurality of first grooves 201 are provided on the carrier wafer 21.
  • the sizes of the plurality of first grooves are completely the same, and the plurality of first grooves 201 are distributed on the carrier wafer 21 in an array.
  • a plurality of discrete first wafers are fixed in the first grooves.
  • S220 preparing the rewiring layers of the plurality of first chips on the carrier wafer on which the plurality of first chips are fixed.
  • the rewiring layer of each first wafer in the plurality of first wafers may be the same as the rewiring layer 214 of the first wafer in the foregoing device embodiment.
  • the rewiring layers of the multiple first wafers are used to redistribute the IO ports in the multiple first wafers, so as to facilitate electrical connection with other electronic components and improve the overall performance of the chip. .
  • S230 Stack the second wafer on top of the carrier wafer on which the rewiring layer is formed.
  • a wafer-level bonding process of wafer-to-wafer bonding may be used to bond the second wafer to the carrier wafer.
  • the surface area of the second wafer is equal to the surface area of the carrier wafer. Adopting this bonding method is easy to realize the process, the preparation speed of the chip is fast, and the process cost can be reduced.
  • S240 Electrically connect the plurality of second chips in the stacked second wafer with the plurality of first chips through the rewiring layer.
  • a plurality of second wafers have been prepared on the second wafer, and after the second wafer is bonded on the carrier wafer, the plurality of second wafers and the carrier wafer
  • the number of the second wafers is the same as the number of the first wafers
  • a second wafer is stacked above each first wafer.
  • the area of each second wafer is greater than the area of its corresponding first wafer.
  • each second wafer of the plurality of second wafers may be the same as the second wafer 220 in the foregoing device embodiment.
  • the plurality of first chips are electrically connected to the rewiring layer
  • the plurality of second chips in the second wafer are electrically connected to the rewiring layer, so as to realize the electrical connection between the plurality of second chips and the plurality of first chips. connection.
  • the stacked chip obtained by cutting may be the stacked chip 20 in the device embodiment shown in FIGS. 6 to 8.
  • the first groove in the carrier wafer is used to provide support and stability for the plurality of first wafers, and the second wafer including the plurality of second wafers is directly bonded to the carrier using a wafer-level bonding process
  • the wafer it is possible to stack a large-area second wafer on a small-area first wafer. While realizing the stacked chip structure, it is also possible to manufacture as many small-area first wafers on the wafer as possible to reduce the cost. The cost of the first chip, thereby reducing the overall manufacturing cost.
  • a single first wafer before bonding, a single first wafer can be tested to screen out wafers with good performance, and wafers with poor performance can be removed, so as to improve the overall chip yield and further reduce the overall manufacturing cost.
  • FIG. 13 shows a schematic flowchart of another method 200 for manufacturing a stacked chip.
  • step S210 may include the following steps.
  • S211 Prepare and cut multiple first wafers on the first wafer.
  • the multiple first wafers are multiple wafers prepared on the first wafer and cut from the first wafer. Further, the plurality of first wafers are wafers that meet the performance requirements after being tested.
  • N chips can be prepared on the first wafer, where N is a positive integer, the number of the plurality of chips is M, and M is a positive integer less than N.
  • S212 Prepare a plurality of first grooves on the carrier wafer, and place the plurality of first wafers into the plurality of first grooves through a pick and place (Pick and Place) process.
  • a plurality of first grooves can be prepared on the carrier wafer by a variety of process methods, including but not limited to: dry etching, laser Law, mechanical law, etc.
  • process methods including but not limited to: dry etching, laser Law, mechanical law, etc.
  • the embodiment of the application does not specifically limit this.
  • FIG. 14 shows a partial cross-sectional view of the wafer along the A-A' direction in FIG. 12.
  • Two first grooves 201 with the same shape and size are formed on the carrier wafer 21.
  • the plurality of first wafers can be placed in the plurality of first grooves by using a standard pick-and-place process.
  • the lower surface of the first wafer is provided with a first adhesive layer, and the first adhesive layer includes but is not limited to DAF.
  • FIG. 15 shows a cross-sectional view after this process step.
  • the two first wafers 210 are respectively fixed on the bottom of the first groove 201 through the first adhesive layer 211.
  • a first metal circuit layer 213 is formed on the upper surface of the first chip 210, which may be an IO interface of the first chip 210.
  • S213 Fill the gaps between the plurality of first wafers and the plurality of first recesses and the upper surface of the carrier wafer with a filling material, and heat the filling material in a vacuum environment to form a stable filling layer.
  • the filling material may be a dry film or other polymer materials with good fluidity.
  • the filling material may be a dry film material that can be photoetched.
  • the filling material is attached to the surface of the carrier wafer by an automatic film attaching machine, and can be automatically filled in the gaps between the plurality of first wafers and the plurality of first grooves due to its fluidity. Then, it is cured under vacuum and heating conditions to form a stable filling layer, which can be filled in the voids of the plurality of first wafers and the plurality of first grooves without cavities, so as to ensure that the plurality of first wafers are in the first Structural stability in the groove.
  • FIG. 16 shows a cross-sectional view after this process step.
  • the filling layer 212 fills the gap between the two first wafers 210 and the two first grooves 201 and the upper surface of the carrier wafer 200.
  • step S220 may include:
  • S221 Perform a windowing process on the filling layer to remove the filling layer above the plurality of first metal circuit layers on the upper surface of the plurality of first wafers, and prepare a rewiring layer above the filling layer.
  • a semiconductor process such as exposure, development, and etching, can be used to open a window on the filling layer to expose the multiple first metal circuit layers on the upper surfaces of the multiple first wafers.
  • a rewiring layer is prepared on the surface of the plurality of first metal circuit layers and the filling layer by using processes such as seed layer deposition, photolithography, and electroplating. Wherein, the rewiring layer is in contact with a plurality of first metal layers to form an electrical connection relationship.
  • FIG. 17 shows a cross-sectional view after this process step.
  • the rewiring layer 214 is a pattern layer of metal lines, and includes a plurality of electrical connection lines.
  • the rewiring layer 214 is formed on the filling layer 212 and the plurality of first metal circuit layers 213, and is in contact with the plurality of first metal circuit layers 213 to form an electrical connection relationship.
  • the manufacturing method is a method of manufacturing a stacked image sensor, and the rewiring layer 214 is provided with electrical connection lines corresponding to each row of pixel units and/or each column of pixel units in the pixel array of the image sensor.
  • the distribution of the connection positions of the electrical connection lines is consistent with the distribution of a column of pixel units and/or a row of pixel units in the pixel array.
  • the pixel units of each row are connected to the rewiring layer 214, and the connection positions of the pixel units of each row to the rewiring layer 214 are respectively located below each row of pixels, which can form the same connection points as a column of pixel units. .
  • step S230 may include the following steps.
  • S231 Prepare an insulating dielectric layer above the rewiring layer and the filling layer, and after planarizing the upper surface of the insulating dielectric layer and the lower surface of the second wafer, bond them together.
  • a semiconductor manufacturing process is used to prepare an insulating dielectric layer above the rewiring layer and the filling layer to cover the entire area of the rewiring layer and the filling layer.
  • the semiconductor manufacturing process includes but is not limited to: physical vapor deposition (Chemical Vapor Deposition, CVD), chemical vapor deposition (Physical Vapor Deposition, PVD), atomic layer deposition (atomic layer deposition, ALD), etc., this application The embodiment does not specifically limit this.
  • the insulating dielectric layer may be an insulating material such as silicon oxide, and the embodiment of the present application does not limit the specific material of the insulating dielectric layer.
  • an insulating dielectric layer is prepared above the rewiring layer to form a flat interface, which is convenient for wafer bonding. Specifically, after the insulating dielectric layer is prepared, the upper surface of the insulating dielectric layer is planarized. Optionally, a polishing treatment is performed on the upper surface of the insulating dielectric layer, and the polishing treatment includes, but is not limited to, a chemical-mechanical polishing (Chemical-Mechanical Planarization, CMP) process.
  • CMP chemical-mechanical polishing
  • the lower surface of the second wafer is also planarized to form a smooth surface.
  • the flatness and roughness of the lower surface of the second wafer and the upper surface of the insulating dielectric layer meet certain threshold requirements before wafer-level bonding can be performed.
  • the lower surface of the smooth second wafer and the upper surface of the insulating dielectric layer are bonded together, and then subjected to high-temperature annealing, so that the bonding force between the second wafer and the insulating dielectric layer is enhanced, and the inter-wafer adhesion is improved. Bonding force.
  • This bonding method is also called Fusion Bonding.
  • the bonding between the second wafer and the carrier wafer may also adopt other wafer-level bonding methods, such as ultra-high vacuum bonding and surface activated bonding. , SAB), plasma activated bonding and other methods, which are not specifically limited in the embodiments of the present application.
  • a plurality of second wafers are grown on the second wafer, and the second wafer may be a pixel wafer in an image sensor, and includes a pixel array composed of a plurality of pixel units.
  • the pixel wafer may be a back-illuminated image sensor structure, or may be a traditional front-illuminated image sensor structure. If the pixel wafer is a back-illuminated image sensor structure, the substrate of the pixel wafer is the upper surface of the pixel wafer. In other words, in the embodiment of the present application, the upper surface of the second wafer is a substrate material, such as a silicon substrate.
  • the manufacturing method 200 further includes:
  • the carrier wafer can play a supporting role.
  • the second chip of the second wafer is a pixel chip
  • the upper surface of the thinned second wafer is close to the pixel array in the second chip, in other words, close to the plurality of photodiodes in the second chip.
  • the second metal circuit layer of the second chip is located below the pixel array, and the second metal circuit layer is used to transmit electrical signals of the second chip.
  • FIG. 18 shows a cross-sectional view after this process step.
  • the second wafer 22 is bonded above the insulating dielectric layer 215, wherein the two second wafers 220 in the second wafer 22 are stacked above the two first chips 210 respectively.
  • a plurality of pixel units 221 are close to the upper surface of the second wafer 22.
  • the second metal circuit layer 222 in the second wafer 220 is formed under the plurality of pixel units 221.
  • step S240 may include the following steps.
  • S241 Prepare a plurality of via interconnection structures, and electrically connect the plurality of second metal circuit layers and the rewiring layer through a plurality of top metal circuit layers.
  • multiple through silicon via interconnection structures are prepared by the through silicon via interconnection technology.
  • the through silicon via interconnection technology includes processes such as preparation of the through silicon via structure and filling of conductive materials in the through holes.
  • the through silicon via interconnection structure is used to electrically connect the second metal circuit layer in the second wafer with the rewiring layer through the top metal circuit layer; wherein the top metal circuit layer is disposed on the upper surface of the second wafer,
  • the TSV interconnect structure includes a first TSV interconnect structure and a second TSV interconnect structure, and the first TSV interconnect structure is used to connect the second metal circuit layer and the top layer in the second wafer
  • the metal circuit layer, the second through silicon via interconnection structure is used to connect the rewiring layer and the top metal circuit layer.
  • the second metal circuit layer in the second wafer and the rewiring layer are electrically connected together, and the rewiring layer is electrically connected to the first metal circuit in the first wafer.
  • Layer contact so as to realize the electrical connection relationship between the second wafer and the first wafer.
  • the conductive material filled in the TSV interconnect structure includes but is not limited to copper, polysilicon, and the like.
  • FIG. 19 shows a cross-sectional view after this process step.
  • a plurality of top metal wiring layers 223 are formed on the surface of the second wafer 220, and the TSV interconnection structure includes a first TSV 2241 and a second TSV 2242, wherein the first TSV
  • the interconnect structure 2241 connects the top metal circuit layer 223 and the rewiring layer 214
  • the second TSV structure 2242 connects the top metal circuit layer 223 and the second metal circuit layer 222.
  • a filter layer and a microlens array can be grown above the pixel array.
  • the filter layer and the microlens array can be the same as the filter layer 227 in FIG. 8 And the micro lens array 226 is the same.
  • the filter layer and microlens array are prepared on the surface of the pixel wafer after the wafer bonding is performed, and there is no need to set up during the bonding process. Temporary bonding glue and removal of temporary bonding glue will not bring additional process costs and will not affect the performance of the optical devices in the chip.
  • the foregoing step S250 may include:
  • S251 Perform wafer dicing along the dicing path of the carrier wafer to obtain multiple stacked chips.
  • the multiple wafers on the carrier wafer are cut along the dicing path of the carrier wafer to obtain multiple stacked chips.
  • the dicing path of the carrier wafer is also the dicing path of the second wafer.
  • Each stacked chip includes a first wafer on a carrier wafer and a second wafer stacked above the first wafer.
  • the obtained stacked chip may be the stacked chip 20 in the device embodiment in FIGS. 6 to 8 described above.
  • FIG. 20 shows a cross-sectional view after this process step.
  • the two stacked chips are obtained.
  • the two stacked chips may be image sensor chips or other types of chips.
  • a plurality of stacked chips can be prepared by using a wafer-level bonding process, which can reduce the cost of each chip while optimizing the process.
  • FIG. 21 is a schematic flowchart of another method 300 for manufacturing a stacked chip.
  • the manufacturing method 300 of the stacked chip may include the following steps.
  • S310 Fix a plurality of first wafers in a plurality of first grooves of the carrier wafer.
  • S320 Fix a plurality of third wafers in a plurality of second grooves of the carrier wafer.
  • the plurality of third chips may be the same as the third chip 230 in the foregoing device embodiment.
  • the first chip and the third chip may be logic chips and memory chips, respectively.
  • step S310 may be the same as step S210 described above, and may include step S211 to step S213 described above.
  • step S320 the process of fixing the plurality of third chips in the plurality of second grooves of the carrier wafer can refer to the above step S210, step S211 to step S213, and the third chip and the third recess in the above device embodiment. Description of the slot.
  • a plurality of first grooves and a plurality of second grooves can be prepared on the carrier wafer at the same time, and then the plurality of first wafers and the plurality of third wafers are respectively placed on the corresponding In the groove.
  • the lower surface of the plurality of third wafers is also provided with a DAF layer, and the plurality of third wafers are fixed on the bottom of the plurality of second grooves through the DAF.
  • the filler material fills the gaps between the plurality of first wafers and the plurality of first grooves, and at the same time Fill the gaps between the plurality of third wafers and the plurality of second grooves.
  • the plurality of third wafers and the plurality of first wafers are all stably fixed in the groove.
  • S330 preparing rewiring layers of a plurality of first chips and a plurality of third chips on the carrier wafer.
  • the rewiring layer is formed above the plurality of first wafers and the plurality of third wafers, and is electrically connected to the plurality of first wafers and the plurality of third wafers.
  • the above-mentioned filling layer is windowed to remove the filling layer above the plurality of first metal circuit layers on the upper surfaces of the plurality of first wafers and the plurality of third metal circuit layers on the upper surfaces of the plurality of third wafers, A rewiring layer is prepared above the filling layer.
  • semiconductor processes such as exposure, development, and etching, can be used to open windows on the filling layer to expose the upper surfaces of the first metal circuit layers and the upper surfaces of the third wafers.
  • semiconductor processes such as exposure, development, and etching, can be used to open windows on the filling layer to expose the upper surfaces of the first metal circuit layers and the upper surfaces of the third wafers.
  • semiconductor processes such as exposure, development, and etching, can be used to open windows on the filling layer to expose the upper surfaces of the first metal circuit layers and the upper surfaces of the third wafers.
  • semiconductor processes such as exposure, development, and etching
  • a rewiring layer is prepared on the surface of the plurality of first metal circuit layers and the filling layer by using processes such as seed layer deposition, photolithography, and electroplating.
  • the rewiring layer is in contact with a plurality of first metal circuit layers and a plurality of third metal circuit layers to form an electrical connection relationship.
  • the rewiring layer may be laterally connected to the first metal circuit layer on the surface of the first chip and the third metal circuit layer on the surface of the third chip. And through the rewiring layer, the position of the IO interface of the third metal circuit layer in the third chip can be re-layout, which can improve the reliability of interconnection between the chips.
  • S340 Stack the second wafer on top of the carrier wafer on which the rewiring layer is formed.
  • S350 Electrically connect the plurality of second chips in the second wafer with the plurality of first chips through the rewiring layer.
  • this step S340 and step S350 can refer to the aforementioned step S230 and step S240, and can also refer to the aforementioned step S231 to step S241, which will not be repeated here.
  • each second wafer of the plurality of second wafers is stacked above its corresponding first wafer and third wafer.
  • multiple other numbers of wafers may also be arranged under the second wafer, and the multiple wafers are correspondingly arranged in the grooves of the carrier wafer.
  • the embodiment of the present application does not have a specific number of wafers in the stacked chip. Make a limit.
  • S360 Electrically connect the plurality of second chips in the second wafer with the plurality of third chips through the rewiring layer.
  • this step S360 can be performed simultaneously with the above step S350, and the specific implementation is similar to the above step S350.
  • the third wafer is also electrically connected to the second wafer through the through silicon via interconnection structure.
  • a top metal circuit layer is grown on the surface of the second wafer, and a plurality of first TSV interconnect structures in the TSV interconnect structure are connected to the top metal circuit layer and the rewiring layer.
  • one of the first TSV interconnect structures is connected to the top metal circuit layer and the rewiring layer.
  • the via interconnection structure is connected to the rewiring layer above the first wafer, and is connected to the first metal circuit layer on the surface of the first wafer through the rewiring layer.
  • Another first TSV interconnect structure is connected to the rewiring layer above the third wafer, and is connected to the third metal circuit layer on the surface of the third wafer through the rewiring layer.
  • the multiple second TSV interconnect structures in the TSV interconnect structure connect the top metal circuit layer and the second metal circuit layer in the second wafer. Therefore, the second metal circuit layer in the second wafer is connected to the rewiring layer through the through silicon via interconnection structure, thereby achieving electrical connection between the third wafer and the second wafer and the electrical connection between the first wafer and the second wafer.
  • the manufacturing method 300 further includes:
  • S370 Perform wafer dicing along the dicing path of the carrier wafer to obtain multiple stacked chips.
  • the stacked chips obtained by cutting include three wafers, wherein the second wafer is stacked above the first wafer and the third wafer.
  • the obtained stacked chip may be the stacked chip 20 in the device embodiment in FIG. 9 to FIG. 10 described above.
  • the position space in the stacked chips can be fully utilized, and large-area chips can be stacked on top of multiple small-area chips, and as many small chips as possible can be grown on the wafer, reducing manufacturing cost.
  • the bonding between multiple wafers does not require multiple wafer bonding processes, thereby further reducing process costs.
  • an embodiment of the present application further provides an image sensor 30, and the image sensor 30 may include the stacked chip 20 of the foregoing application embodiment.
  • the stacked chip 20 is a stacked image sensor chip, which is used to receive optical signals and convert the optical signals to obtain electrical signals.
  • the stacked image sensor chip may undergo subsequent processing processes such as packaging.
  • the image sensor 30 may also include other electrical, optical, or mechanical elements, which are not limited in the embodiment of the present application.
  • an embodiment of the present application further provides an electronic device 40, and the electronic device 40 may include the stacked chip 20 of the foregoing application embodiment.
  • the stacked chip 20 may be an image sensor chip, which is used in various mobile terminal shooting devices, such as front or rear cameras of mobile phones, digital cameras, and so on.
  • the electronic equipment may also include optical devices such as a lens and an optical path guiding structure.
  • the units can be implemented by electronic hardware, computer software, or a combination of the two, in order to clearly illustrate the interchangeability of hardware and software.
  • the composition and steps of each example have been described generally in terms of function. Whether these functions are performed by hardware or software depends on the specific application and design constraint conditions of the technical solution. Professionals and technicians can use different methods for each specific application to implement the described functions, but such implementation should not be considered beyond the scope of this application.
  • the disclosed system and device may be implemented in other ways.
  • the device embodiments described above are merely illustrative, for example, the division of the units is only a logical function division, and there may be other divisions in actual implementation, for example, multiple units or components may be combined or It can be integrated into another system, or some features can be ignored or not implemented.
  • the displayed or discussed mutual coupling or direct coupling or communication connection may be indirect coupling or communication connection through some interfaces, devices or units, and may also be electrical, mechanical or other forms of connection.
  • the units described as separate components may or may not be physically separated, and the components displayed as units may or may not be physical units, that is, they may be located in one place, or they may be distributed on multiple network units. Some or all of the units may be selected according to actual needs to achieve the objectives of the solutions of the embodiments of the present application.
  • the functional units in the various embodiments of the present application may be integrated into one processing unit, or each unit may exist alone physically, or two or more units may be integrated into one unit.
  • the above-mentioned integrated unit can be implemented in the form of hardware or software functional unit.
  • the integrated unit is implemented in the form of a software functional unit and sold or used as an independent product, it can be stored in a computer readable storage medium.
  • the technical solution of this application is essentially or the part that contributes to the existing technology, or all or part of the technical solution can be embodied in the form of a software product, and the computer software product is stored in a storage medium. It includes several instructions to make a computer device (which may be a personal computer, a server, or a network device, etc.) execute all or part of the steps of the methods described in the various embodiments of the present application.
  • the aforementioned storage media include: U disk, mobile hard disk, read-only memory (read-only memory, ROM), random access memory (random access memory, RAM), magnetic disks or optical disks and other media that can store program codes. .

Abstract

A stacked chip, a manufacturing method, and an electronic device, capable of reducing the manufacturing cost of the stacked chip. The stacked chip comprises: a carrier wafer 200 formed with a first recess 201; a first wafer 210 arranged in the first recess 201; and a second wafer 220 stacked on the first wafer 210 and the carrier wafer 200. The surface area of the second wafer 220 is larger than the surface area of the first wafer 210; a redistribution layer is arranged between the second wafer 220 and the first wafer 210, and the second wafer 220 is electrically connected to the first wafer 210 by means of the redistribution layer. According to the method, the first recess in the carrier wafer supports and stabilizes the first wafer, and the second wafer having a larger area is stacked on the first wafer having a smaller area, so that the first wafers having smaller areas can be manufactured on the wafer as many as possible while a stacked chip structure is achieved, the cost of a single first wafer is reduced, and thus the total manufacturing cost is reduced.

Description

堆叠式的芯片、制造方法、图像传感器和电子设备Stacked chip, manufacturing method, image sensor and electronic device 技术领域Technical field
本申请涉及半导体芯片领域,并且更为具体地,涉及一种堆叠式的芯片、制造方法、图像传感器和电子设备。This application relates to the field of semiconductor chips, and more specifically, to a stacked chip, a manufacturing method, an image sensor, and an electronic device.
背景技术Background technique
随着半导体和集成电路技术的发展,芯片的器件类型越来越丰富,集成度越来越高,在二维平面上,随着半导体工艺发展到某个极致程度,无法进一步提高芯片的性能,因此,目前业内提出了一种三维堆叠的概念,将芯片从二维扩展到三维,即将不同功能的芯片模块上下堆叠在一起进行封装,从而提高芯片的整体性能和良率。With the development of semiconductor and integrated circuit technology, chip device types are becoming more and more abundant, and the integration degree is getting higher and higher. On the two-dimensional plane, as the semiconductor technology develops to a certain extreme degree, the performance of the chip cannot be further improved. Therefore, a three-dimensional stacking concept is currently proposed in the industry to expand the chip from two-dimensional to three-dimensional, that is, chip modules with different functions are stacked on top of each other for packaging, thereby improving the overall performance and yield of the chip.
在一种实现方式中,上层晶片(Die)和下层晶片通过晶圆级键合工艺(Wafer-level Bonding Process),以晶圆(Wafer)到晶圆的方式堆叠至一起,以形成堆叠式的三维芯片。为了满足堆叠的工艺要求,上层晶片和下层晶片具有相同的晶片尺寸,上层晶圆上上层晶片的数量与下层晶圆上晶片的数量相等,但当上层晶片和下层晶片不是同一类型的晶片时,该堆叠方式会造成晶圆面积的浪费,增加堆叠式芯片的制造成本。In one implementation, the upper die and the lower die are stacked together in a wafer-to-wafer manner through a wafer-level bonding process (Wafer-level Bonding Process) to form a stacked Three-dimensional chip. In order to meet the stacking process requirements, the upper and lower wafers have the same wafer size, and the number of upper wafers on the upper wafer is equal to the number of wafers on the lower wafer, but when the upper and lower wafers are not the same type of wafers, This stacking method will cause a waste of wafer area and increase the manufacturing cost of stacked chips.
因此,何如降低堆叠式芯片的制造成本,是一项亟待解决的问题。Therefore, how to reduce the manufacturing cost of stacked chips is an urgent problem to be solved.
发明内容Summary of the invention
本申请实施例提供了一种堆叠式的芯片、制造方法、图像传感器和电子设备,能够降低堆叠式芯片的制造成本。The embodiments of the present application provide a stacked chip, a manufacturing method, an image sensor, and an electronic device, which can reduce the manufacturing cost of the stacked chip.
第一方面,提供了一种堆叠式的芯片,包括:In the first aspect, a stacked chip is provided, including:
载体晶片,其中设置有第一凹槽;A carrier wafer with a first groove provided therein;
第一晶片,设置于该第一凹槽中;The first wafer is set in the first groove;
第二晶片,堆叠于该载体晶片和该第一晶片的上方,该第二晶片的表面面积大于该第一晶片的表面面积;A second wafer stacked on top of the carrier wafer and the first wafer, and the surface area of the second wafer is larger than the surface area of the first wafer;
位于第二晶片与该第一晶片之间的再布线层,该第二晶片通过该再布线层与该第一晶片电连接。A rewiring layer located between the second wafer and the first wafer, and the second wafer is electrically connected to the first wafer through the rewiring layer.
在本申请的实施方案中,通过载体晶片中第一凹槽为第一晶片提供支撑 和稳定,实现将大面积的第二晶片堆叠在小面积的第一晶片上,从而可以在实现堆叠芯片结构的同时,还能够在晶圆上尽可能多的制造小面积的第一晶片,降低单颗第一晶片的成本,从而降低芯片整体的制造成本。In the embodiment of the present application, the first groove in the carrier wafer provides support and stability for the first wafer, so that a large-area second wafer can be stacked on a small-area first wafer, so that a stacked chip structure can be realized. At the same time, it is also possible to manufacture as many small-area first chips as possible on the wafer, reducing the cost of a single first chip, thereby reducing the overall manufacturing cost of the chip.
在一种可能的实施方式中,该堆叠式的芯片为图像传感芯片;In a possible implementation manner, the stacked chip is an image sensor chip;
该第二晶片为像素晶片,该像素晶片包括像素阵列,用于接收光信号并转换为电信号;The second chip is a pixel chip, and the pixel chip includes a pixel array for receiving optical signals and converting them into electrical signals;
该第一晶片为逻辑晶片,该逻辑晶片包括信号处理电路,用于处理该电信号。The first chip is a logic chip, and the logic chip includes a signal processing circuit for processing the electrical signal.
在本申请实施方式的技术方案中,图像传感芯片中的信号处理电路与像素电路分离设置,能够提高图像传感芯片中像素晶片上的感光面积,在减小堆叠式图像传感芯片的成本同时,还能够提高图像传感器的性能。In the technical solution of the embodiment of the present application, the signal processing circuit in the image sensor chip and the pixel circuit are arranged separately, which can increase the photosensitive area on the pixel wafer in the image sensor chip, thereby reducing the cost of the stacked image sensor chip. At the same time, the performance of the image sensor can also be improved.
在一种可能的实施方式中,该载体晶片的表面面积与该第二晶片的表面面积相等,该第二晶片与该第一晶片之间通过晶圆级键合形成堆叠。In a possible implementation, the surface area of the carrier wafer is equal to the surface area of the second wafer, and a stack is formed between the second wafer and the first wafer through wafer-level bonding.
采用本申请实施方式的技术方案,在制造过程中,可以采用晶圆级键合工艺制备该堆叠式芯片,且在进行晶圆级键合前,对单颗的第一晶片以及晶圆上的第二晶片进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高整体芯片的良率,进一步降低整体的制造成本。By adopting the technical solution of the embodiment of the present application, in the manufacturing process, the stacked chip can be prepared by a wafer-level bonding process, and before the wafer-level bonding is performed, a single first chip and the on-wafer The second wafer is tested to screen out wafers with good performance and remove the wafers with poor performance to improve the overall chip yield and further reduce the overall manufacturing cost.
在一种可能的实施方式中,该再布线层中设置有与该像素阵列中每行像素单元电连接的多个第一电连接点,该多个第一电连接点的位置分布与该像素阵列中一列像素单元的位置分布一致;和/或,该再布线层中设置有与该像素阵列中每列像素单元电连接的多个第二电连接点,该多个第二点连接点的位置分布与该像素阵列中一行像素单元的位置分布一致。In a possible implementation manner, the rewiring layer is provided with a plurality of first electrical connection points electrically connected to each row of pixel units in the pixel array, and the position distribution of the plurality of first electrical connection points is consistent with that of the pixel. The position distribution of a column of pixel units in the array is consistent; and/or, the rewiring layer is provided with a plurality of second electrical connection points electrically connected to each column of pixel units in the pixel array, The position distribution is consistent with the position distribution of a row of pixel units in the pixel array.
通过本申请实施方式的方案,在像素阵列中只保留有像素阵列电路,将其他所有的控制电路均设置在逻辑晶片中,通过再布线层的电连接,实现逻辑晶片对像素阵列中每行以及每列像素的控制,能够进一步提高图像传感芯片的感光面积。Through the solution of the embodiment of the present application, only the pixel array circuit is retained in the pixel array, and all other control circuits are arranged in the logic chip. Through the electrical connection of the rewiring layer, the logic chip is realized to each row and the pixel array in the pixel array. The control of each column of pixels can further increase the photosensitive area of the image sensor chip.
在一种可能的实施方式中,该芯片还包括填充层,该填充层设置在该第一晶片与该第一凹槽之间、该载体晶片的上表面以及该第一晶片上表面中除第一金属线路层外的区域;In a possible embodiment, the chip further includes a filling layer disposed between the first wafer and the first recess, the upper surface of the carrier wafer, and the upper surface of the first wafer. An area outside the metal circuit layer;
其中,该填充层用于将该第一晶片固定在该第一凹槽中,该第一金属线路层为该第一晶片的线路层。Wherein, the filling layer is used to fix the first wafer in the first groove, and the first metal circuit layer is the circuit layer of the first wafer.
在一种可能的实施方式中,该再布线层设置于该填充层以及该第一金属线路层的上表面,用于电连接该第一金属线路层与该第二晶片。In a possible implementation, the rewiring layer is disposed on the filling layer and the upper surface of the first metal circuit layer, and is used to electrically connect the first metal circuit layer and the second wafer.
在一种可能的实施方式中,该芯片还包括绝缘介质层,该绝缘介质层覆盖在该再布线层以及该填充层上方,该绝缘介质层的上表面与该第二晶片的下表面键合在一起。In a possible implementation, the chip further includes an insulating dielectric layer covering the rewiring layer and the filling layer, and the upper surface of the insulating dielectric layer is bonded to the lower surface of the second wafer Together.
在一种可能的实施方式中,该填充层为可用于光刻的干膜材料层。In a possible implementation, the filling layer is a dry film material layer that can be used for photolithography.
在一种可能的实施方式中,该芯片还包括通孔互连结构,该通孔互连结构用于电连接该第二晶片和该第一晶片。In a possible embodiment, the chip further includes a through-hole interconnection structure, and the through-hole interconnection structure is used to electrically connect the second wafer and the first wafer.
在一种可能的实施方式中,该第二晶片包括第二金属线路层和顶层金属线路层,其中,该第二金属线路层位于该第二晶片内部,该顶层金属线路层位于该第二晶片的上表面;In a possible embodiment, the second chip includes a second metal circuit layer and a top metal circuit layer, wherein the second metal circuit layer is located inside the second chip, and the top metal circuit layer is located on the second chip. The upper surface of
该通孔互连结构中的第一通孔互连结构连接该顶层金属线路层和该再布线层,该通孔互连结构中的第二通孔互连结构连接该顶层金属线路层和该第二金属线路层,其中,该再布线层与该第一晶片的线路层电连接。The first via interconnection structure in the via interconnection structure connects the top metal circuit layer and the rewiring layer, and the second via interconnection structure in the via interconnection structure connects the top metal circuit layer and the The second metal circuit layer, wherein the rewiring layer is electrically connected to the circuit layer of the first chip.
在一种可能的实施方式中,该芯片还包括第一胶层,该第一胶层设置在该第一晶片的下表面,该第一胶层用于将该第一晶片粘接在该第一凹槽中。In a possible embodiment, the chip further includes a first adhesive layer disposed on the lower surface of the first chip, and the first adhesive layer is used to bond the first chip to the first chip. In a groove.
在一种可能的实施方式中,该第一晶片的上表面不高于该载体晶片的上表面。In a possible embodiment, the upper surface of the first wafer is not higher than the upper surface of the carrier wafer.
在一种可能的实施方式中,该载体晶片中还设置有第二凹槽,该芯片还包括:第三晶片,该第三晶片设置在该第二凹槽中;In a possible implementation manner, a second groove is further provided in the carrier wafer, and the chip further includes: a third wafer, and the third wafer is provided in the second groove;
该第二晶片堆叠于该第一晶片、该第三晶片以及该载体晶片的上方,且该第二晶片的表面面积大于该第一晶片与该第三晶片的表面面积之和。The second chip is stacked above the first chip, the third chip, and the carrier chip, and the surface area of the second chip is larger than the sum of the surface areas of the first chip and the third chip.
在本申请实施方式的技术方案中,通过将第一晶片以及第三晶片均设置在载体晶片的凹槽中,在实现将大面积的第二晶片堆叠在第一晶片以及第三晶片的上方的同时,能够在晶圆上生长尽可能多的第一晶片以及第三晶片,减少制造成本。In the technical solution of the embodiment of the present application, by arranging both the first wafer and the third wafer in the groove of the carrier wafer, the second wafer with a large area is stacked on top of the first wafer and the third wafer. At the same time, as many first and third wafers as possible can be grown on the wafer, reducing manufacturing costs.
在一种可能的实施方式中,该第三晶片、该第一晶片与该第二晶片之间通过晶圆级键合形成堆叠。In a possible embodiment, the third chip, the first chip and the second chip are stacked by wafer-level bonding.
在本申请实施方式的技术方案中,能够充分利用堆叠芯片中的空间,采用一次晶圆键合工艺将第二晶片键合在第一晶片和第三晶片的上方,而不需要采用两次晶圆键合工艺,将三个晶片依次键合,从而进一步降低了工艺成 本。此外,还可以在进行晶圆级键合前,对单颗的第一晶片以及单颗的第三晶片均进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高整体芯片的良率,进一步降低整体的制造成本。In the technical solution of the embodiment of the present application, the space in the stacked chips can be fully utilized, and the second wafer can be bonded on top of the first and third wafers by a single wafer bonding process, instead of using two wafers. In the circular bonding process, three wafers are bonded sequentially, thereby further reducing the process cost. In addition, before wafer-level bonding, a single first wafer and a single third wafer can be tested to screen out wafers with good performance, remove the wafers with poor performance, and improve the quality of the overall chip. Rate, further reducing the overall manufacturing cost.
在一种可能的实施方式中,该第一晶片通过该再布线层与该第三晶片电连接,该第二晶片通过通孔互连结构与该第三晶片电连接。In a possible implementation manner, the first wafer is electrically connected to the third wafer through the rewiring layer, and the second wafer is electrically connected to the third wafer through a via interconnection structure.
在一种可能的实施方式中,该第三晶片为图像传感芯片中的内存晶片,该内存晶片包括存储电路,用于存储该第一晶片和/或该第二晶片产生的电信号。In a possible implementation, the third chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for storing electrical signals generated by the first chip and/or the second chip.
通过本申请实施方式的方案,可以将存储晶片集成在堆叠式芯片中,可以提高芯片的信号处理能力和处理速度,进一步的优化芯片性能。Through the solution of the embodiment of the present application, the memory chip can be integrated into the stacked chip, the signal processing capability and processing speed of the chip can be improved, and the chip performance can be further optimized.
在一种可能的实施方式中,该第二晶片为图像传感芯片中的像素晶片,该像素晶片的像素阵列接近于该像素晶片的上表面,该像素阵列上方设置有滤光层和/或微透镜阵列。In a possible implementation manner, the second wafer is a pixel wafer in an image sensor chip, the pixel array of the pixel wafer is close to the upper surface of the pixel wafer, and a filter layer and/or a light filter layer are disposed on the pixel array. Micro lens array.
通过本申请实施方式的方案,像素晶片中的像素阵列接近于像素晶片的上表面,该像素晶片为背照式图像传感结构,能够提高像素阵列接收的光信号强度。Through the solution of the embodiment of the present application, the pixel array in the pixel wafer is close to the upper surface of the pixel wafer, and the pixel wafer is a back-illuminated image sensing structure, which can increase the intensity of the light signal received by the pixel array.
在一种可能的实施方式中,该载体晶片的材料为硅、玻璃、陶瓷中的任意一种。In a possible implementation, the material of the carrier wafer is any one of silicon, glass, and ceramic.
第二方面,提供了一种堆叠式芯片的制造方法,包括:In a second aspect, a method for manufacturing a stacked chip is provided, including:
在载体晶圆上制作多个第一凹槽;Making a plurality of first grooves on the carrier wafer;
从第一晶圆上分割出多个第一晶片,并在该载体晶圆的该多个第一凹槽中固定该多个第一晶片;Dividing a plurality of first chips from the first wafer, and fixing the plurality of first chips in the plurality of first grooves of the carrier wafer;
在固定有该多个第一晶片的该载体晶圆上制备再布线层;Preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed;
将第二晶圆堆叠在制作有该再布线层的该载体晶圆的上方;Stacking the second wafer on top of the carrier wafer on which the rewiring layer is made;
通过该再布线层将堆叠后的该第二晶圆中的多个第二晶片与该多个第一晶片进行电连接;Electrically connecting the plurality of second chips in the second wafer after the stack to the plurality of first chips through the rewiring layer;
将该电连接后的第二晶圆与第一晶圆的整体进行切割,以得到多个堆叠式芯片;Cutting the electrically connected second wafer and the whole of the first wafer to obtain a plurality of stacked chips;
其中,该多个第二晶片与该多个第一晶片一一对应,并分别堆叠在该多个第一晶片的上方,且该多个第二晶片中每个第二晶片的表面面积大于该多个第一晶片中每个第一晶片的表面面积。Wherein, the plurality of second wafers correspond to the plurality of first wafers one-to-one, and are respectively stacked above the plurality of first wafers, and the surface area of each second wafer of the plurality of second wafers is larger than the The surface area of each first wafer in the plurality of first wafers.
在本申请实施方式中,通过载体晶片中第一凹槽为多个第一晶片提供支撑和稳定,将包括多个第二晶片的第二晶圆堆叠在载体晶片上,从而实现将大面积的第二晶片堆叠在小面积的第一晶片上,在实现堆叠芯片结构的同时,还能够在晶圆上尽可能多的制造小面积的第一晶片,降低单颗第一晶片的成本,从而降低整体的制造成本。In the embodiment of the present application, the first groove in the carrier wafer provides support and stability for a plurality of first wafers, and a second wafer including a plurality of second wafers is stacked on the carrier wafer, thereby realizing a large area The second chip is stacked on the small-area first chip. While realizing the stacked chip structure, it is also possible to manufacture as many small-area first chips on the wafer as possible, reducing the cost of a single first chip, thereby reducing The overall manufacturing cost.
在一种可能的实施方式中,该将第二晶圆堆叠在制作有该再布线层的该载体晶圆的上方,包括:In a possible implementation manner, the stacking the second wafer on the carrier wafer on which the rewiring layer is formed includes:
采用晶圆键合工艺将该第二晶圆键合在制作有该再布线层的该载体晶圆的上方,其中,该第二晶圆的表面面积与该载体晶圆的表面面积相等。The second wafer is bonded above the carrier wafer on which the rewiring layer is formed by using a wafer bonding process, wherein the surface area of the second wafer is equal to the surface area of the carrier wafer.
通过本申请实施方案,采用晶圆键合工艺对大小不同的两种晶片进行键合,在进行键合前,对单颗的第一晶片和第二晶圆上多个第二晶片进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高整体芯片的良率,进一步降低整体的制造成本。Through the implementation of this application, the wafer bonding process is used to bond two types of wafers of different sizes. Before bonding, a single first wafer and multiple second wafers on the second wafer are tested to The wafers with good performance are screened out, and the wafers with poor performance are removed, so as to improve the yield of the overall chip and further reduce the overall manufacturing cost.
在一种可能的实施方式中,该堆叠式芯片为图像传感芯片,该多个第二晶片为像素晶片,该多个第二晶片均包括像素阵列,用于接收光信号并转换为电信号;In a possible implementation, the stacked chip is an image sensor chip, the plurality of second chips are pixel chips, and each of the plurality of second chips includes a pixel array for receiving optical signals and converting them into electrical signals ;
该多个第一晶片为逻辑晶片,该多个第一晶片均包括信号处理电路,用于处理该电信号。The plurality of first chips are logic chips, and each of the plurality of first chips includes a signal processing circuit for processing the electrical signal.
在一种可能的实施方式中,将第二晶圆堆叠在制作有该再布线层的该载体晶圆的上方后,该制造方法还包括:In a possible implementation manner, after stacking the second wafer on the carrier wafer on which the rewiring layer is formed, the manufacturing method further includes:
对该第二晶圆的上表面进行减薄处理;Thinning the upper surface of the second wafer;
其中,该第二晶圆中多个第二晶片的像素阵列接近于减薄处理后的所第二晶圆的上表面。Wherein, the pixel arrays of the plurality of second wafers in the second wafer are close to the upper surface of the second wafer after the thinning process.
在一种可能的实施方式中,对该第二晶圆的上表面进行减薄处理后,该制造方法还包括:在该第二晶圆中多个第二晶片的像素阵列上方制备有滤光层和/或微透镜阵列。In a possible implementation manner, after the upper surface of the second wafer is thinned, the manufacturing method further includes: preparing filters on the pixel arrays of the plurality of second wafers in the second wafer. Layer and/or micro lens array.
通过本申请实施方式的方案,相较于芯片到晶圆的键合方式,能够减少工艺程序,降低工艺成本,且不会影响像素晶片的整体性能。Compared with the chip-to-wafer bonding method, the solution of the embodiment of the present application can reduce the process procedure and reduce the process cost without affecting the overall performance of the pixel chip.
在一种可能的实施方式中,在该载体晶圆的该多个第一凹槽中固定该多个第一晶片后,该制造方法还包括:In a possible implementation, after fixing the plurality of first wafers in the plurality of first grooves of the carrier wafer, the manufacturing method further includes:
将填充材料填充于该多个第一晶片与该多个第一凹槽之间的空隙以及 该载体晶圆的上表面;Filling the gaps between the plurality of first dies and the plurality of first recesses and the upper surface of the carrier wafer with a filling material;
在真空环境下对该填充材料进行加热以形成稳定的填充层。The filling material is heated in a vacuum environment to form a stable filling layer.
在一种可能的实施方式中,该在固定有该多个第一晶片的该载体晶圆上制备再布线层,包括:In a possible implementation manner, the preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed includes:
对该填充层进行开窗处理,去除该多个第一晶片上表面中多个第一金属线路层上方的局部填充层,其中,该多个第一金属线路层为该多个第一晶片中的线路层;Windowing is performed on the filling layer to remove the local filling layer above the plurality of first metal circuit layers on the upper surface of the plurality of first wafers, wherein the plurality of first metal circuit layers are in the plurality of first wafers的线层;
在该填充层和该多个第一金属线路层上方制备该再布线层,该再布线层用于电连接该多个第一金属线路层与该第二晶圆中的多个第二晶片。The rewiring layer is prepared above the filling layer and the plurality of first metal circuit layers, and the rewiring layer is used to electrically connect the plurality of first metal circuit layers and the plurality of second chips in the second wafer.
在一种可能的实施方式中,该将第二晶圆堆叠在制作有该再布线层的该载体晶圆的上方,包括:In a possible implementation manner, the stacking the second wafer on the carrier wafer on which the rewiring layer is formed includes:
在该再布线层以及该填充层上方制备绝缘介质层,该绝缘介质层用于覆盖该再布线层以及该填充层;Preparing an insulating dielectric layer above the rewiring layer and the filling layer, and the insulating dielectric layer is used to cover the rewiring layer and the filling layer;
采用晶圆键合工艺键合该绝缘介质层的上表面与该第二晶圆的下表面。A wafer bonding process is used to bond the upper surface of the insulating dielectric layer and the lower surface of the second wafer.
在一种可能的实施方式中,该采用晶圆键合工艺键合该绝缘介质层的上表面与该第二晶圆的下表面,包括:In a possible implementation manner, the bonding the upper surface of the insulating dielectric layer and the lower surface of the second wafer using a wafer bonding process includes:
将该绝缘介质层的上表面与该第二晶圆的下表面进行平坦化处理,其中,经过平坦化处理后的该绝缘介质层的上表面与该第二晶圆的下表面的平整度和/或粗糙度满足预设阈值;The upper surface of the insulating dielectric layer and the lower surface of the second wafer are planarized, wherein the flatness of the upper surface of the insulating dielectric layer and the lower surface of the second wafer after the planarization is equal to that of the lower surface of the second wafer. /Or the roughness meets the preset threshold;
将该绝缘介质层的上表面与该第二晶圆的下表面贴合,并进行高温退火,以键合该绝缘介质层的上表面与该第二晶圆的下表面。The upper surface of the insulating dielectric layer is attached to the lower surface of the second wafer, and high-temperature annealing is performed to bond the upper surface of the insulating dielectric layer and the lower surface of the second wafer.
在一种可能的实施方式中,该填充材料为可用于光刻的干膜材料。In a possible embodiment, the filling material is a dry film material that can be used for photolithography.
在一种可能的实施方式中,该在该载体晶圆的该多个第一凹槽中固定该多个第一晶片,包括:In a possible implementation, the fixing the plurality of first wafers in the plurality of first grooves of the carrier wafer includes:
通过取放工艺将该多个第一晶片放入该多个第一凹槽中;Placing the plurality of first wafers into the plurality of first grooves through a pick-and-place process;
其中,该多个第一晶片的下表面分别设置有第一胶层,该多个第一晶片的下表面通过该第一胶层粘接在该多个第一凹槽中。Wherein, the lower surfaces of the plurality of first wafers are respectively provided with a first adhesive layer, and the lower surfaces of the plurality of first wafers are bonded in the plurality of first grooves through the first adhesive layer.
在一种可能的实施方式中,该多个第一晶片的上表面均不高于该载体晶圆的上表面。In a possible implementation manner, the upper surfaces of the plurality of first wafers are not higher than the upper surface of the carrier wafer.
在一种可能的实施方式中,通过该再布线层将堆叠后的该第二晶圆中的多个第二晶片与该多个第一晶片进行电连接,包括:In a possible implementation manner, electrically connecting the plurality of second chips in the second wafer after the stack to the plurality of first chips through the rewiring layer includes:
在堆叠后的该多个第二晶片与该多个第一晶片中制备多个通孔互连结构,该多个通孔互连结构用于通过多个顶层金属线路层将多个第二金属线路层与该再布线层电连接;A plurality of through-hole interconnection structures are prepared in the stacked second wafers and the plurality of first wafers, and the through-hole interconnection structures are used to connect a plurality of second metal layers through a plurality of top metal wiring layers. The circuit layer is electrically connected to the rewiring layer;
其中,该再布线层与该多个第一晶片的线路层电连接,该多个第二金属线路层为该多个第二晶片中的线路层,该多个顶层金属线路层设置于该多个第二晶片上表面。Wherein, the rewiring layer is electrically connected to the circuit layers of the plurality of first wafers, the plurality of second metal circuit layers are circuit layers in the plurality of second wafers, and the plurality of top metal circuit layers are disposed on the plurality of circuit layers. The upper surface of a second wafer.
在一种可能的实施方式中,在固定有该多个第一晶片的该载体晶圆上制备再布线层之前,该制造方法还包括:In a possible implementation manner, before preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed, the manufacturing method further includes:
在该载体晶圆上制作多个第二凹槽,且该多个第二凹槽与该多个第一凹槽位于该载体晶圆的同一面;Forming a plurality of second grooves on the carrier wafer, and the plurality of second grooves and the plurality of first grooves are located on the same surface of the carrier wafer;
从第三晶圆上分割出多个第三晶片,并在该载体晶圆的该多个第二凹槽中固定该多个第三晶片;Dividing a plurality of third chips from the third wafer, and fixing the plurality of third chips in the plurality of second grooves of the carrier wafer;
该在固定有该多个第一晶片的该载体晶圆上制备再布线层,包括:The preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed includes:
在固定有该多个第一晶片以及该多个第三晶片的该载体晶圆上制备该再布线层;Preparing the rewiring layer on the carrier wafer on which the plurality of first chips and the plurality of third chips are fixed;
在将第二晶圆堆叠在制作有该再布线层的该载体晶圆的上方之后,该制造方法还包括:After stacking the second wafer on the carrier wafer on which the rewiring layer is formed, the manufacturing method further includes:
通过该再布线层将堆叠后的该第二晶圆中多个第二晶片与该多个第三晶片进行电连接;Electrically connecting the plurality of second chips in the second wafer after the stack to the plurality of third chips through the rewiring layer;
其中,该多个第二晶片与该多个第三晶片一一对应,该多个第二晶片堆叠于该多个第一晶片、该多个第三晶片以及该载体晶圆的上方,且该多个第二晶片中每个第二晶片的表面面积大于该多个第一晶片中一个第一晶片与该多个第三晶片中一个第三晶片的表面面积之和。Wherein, the plurality of second chips correspond to the plurality of third chips one-to-one, the plurality of second chips are stacked above the plurality of first chips, the plurality of third chips, and the carrier wafer, and the The surface area of each second wafer in the plurality of second wafers is greater than the sum of the surface area of a first wafer in the plurality of first wafers and a third wafer in the plurality of third wafers.
在一种可能的实施方式中,该多个第一晶片通过该再布线层与该多个第三晶片电连接,该多个第二晶片通过多个通孔互连结构与该多个第三晶片电连接。In a possible implementation manner, the plurality of first wafers are electrically connected to the plurality of third wafers through the rewiring layer, and the plurality of second wafers are electrically connected to the plurality of third wafers through a plurality of through-hole interconnect structures. The chip is electrically connected.
在一种可能的实施方式中,该多个第三晶片为多个内存晶片,包括存储电路,用于存储该多个第一晶片和/或该多个第二晶片产生的电信号。In a possible implementation manner, the plurality of third chips are a plurality of memory chips, and include a storage circuit for storing electrical signals generated by the plurality of first chips and/or the plurality of second chips.
在一种可能的实施方式中,该载体晶圆的衬底材料为硅、玻璃、陶瓷中的任意一种。In a possible implementation manner, the substrate material of the carrier wafer is any one of silicon, glass, and ceramic.
第三方面,提供了一种图像传感器,包括:如第一方面或第一方面的任 一可能的实现方式中的堆叠式的芯片。In a third aspect, an image sensor is provided, including: a stacked chip as in the first aspect or any possible implementation of the first aspect.
第四方面,提供了一种电子设备,包括:如第一方面或第一方面的任一可能的实现方式中的堆叠式的芯片。In a fourth aspect, an electronic device is provided, including: a stacked chip as in the first aspect or any possible implementation of the first aspect.
通过在图像传感器或者电子设备中设置上述堆叠式的芯片,通过降低该芯片的制造成本,从而降低图像传感器或者电子设备的整体制造成本。By arranging the above-mentioned stacked chips in the image sensor or the electronic device, the manufacturing cost of the chip is reduced, thereby reducing the overall manufacturing cost of the image sensor or the electronic device.
附图说明Description of the drawings
图1至图3是根据本申请实施例的三种互补金属氧化物半导体图像传感芯片的结构示意图。1 to 3 are schematic structural diagrams of three complementary metal oxide semiconductor image sensor chips according to embodiments of the present application.
图4是根据本申请实施例的像素晶圆上多个像素晶片的示意性分布图。FIG. 4 is a schematic distribution diagram of a plurality of pixel wafers on a pixel wafer according to an embodiment of the present application.
图5是根据本申请实施例的逻辑晶圆上多个逻辑晶片的示意性分布图。FIG. 5 is a schematic distribution diagram of a plurality of logic chips on a logic wafer according to an embodiment of the present application.
图6是根据本申请实施例的一种堆叠式芯片的分体结构示意图。FIG. 6 is a schematic diagram of the split structure of a stacked chip according to an embodiment of the present application.
图7是根据本申请实施例的一种堆叠式芯片的截面示意图。Fig. 7 is a schematic cross-sectional view of a stacked chip according to an embodiment of the present application.
图8是根据本申请实施例的另一堆叠式芯片的截面示意图。Fig. 8 is a schematic cross-sectional view of another stacked chip according to an embodiment of the present application.
图9是根据本申请实施例的另一堆叠式芯片的分体结构示意图。FIG. 9 is a schematic diagram of the split structure of another stacked chip according to an embodiment of the present application.
图10是根据本申请实施例的另一堆叠式芯片的截面示意图。Fig. 10 is a schematic cross-sectional view of another stacked chip according to an embodiment of the present application.
图11是根据本申请实施例的一种堆叠式芯片的制造方法的示意性流程框图。Fig. 11 is a schematic flow chart of a method for manufacturing a stacked chip according to an embodiment of the present application.
图12是根据本申请实施例的载体晶圆上多个第一凹槽的示意性分布图。FIG. 12 is a schematic distribution diagram of a plurality of first grooves on a carrier wafer according to an embodiment of the present application.
图13是根据本申请实施例的另一堆叠式芯片的制造方法的示意性流程框图。FIG. 13 is a schematic flow chart of another method for manufacturing a stacked chip according to an embodiment of the present application.
图14至图20是根据本申请实施例的多个工艺步骤后的部分晶圆截面图。14 to 20 are partial cross-sectional views of a wafer after multiple process steps according to an embodiment of the present application.
图21是根据本申请实施例的另一堆叠式芯片的制造方法的示意性流程框图。FIG. 21 is a schematic flowchart of another method for manufacturing a stacked chip according to an embodiment of the present application.
图22是根据本申请实施的一种图像传感器的示意性结构框图。Fig. 22 is a schematic structural block diagram of an image sensor implemented according to the present application.
图23是根据本申请实施的一种电子设备的示意性结构框图。Fig. 23 is a schematic structural block diagram of an electronic device implemented according to the present application.
具体实施方式Detailed ways
下面将结合附图,对本申请实施例中的技术方案进行描述。The technical solutions in the embodiments of the present application will be described below in conjunction with the accompanying drawings.
应理解,本文中的具体的例子只是为了帮助本领域技术人员更好地理解 本申请实施例,而非限制本申请实施例的范围。It should be understood that the specific examples in this document are only to help those skilled in the art to better understand the embodiments of the present application, rather than limiting the scope of the embodiments of the present application.
还应理解,在本申请的各种实施例中,各过程的序号的大小并不意味着执行顺序的先后,各过程的执行顺序应以其功能和内在逻辑确定,而不应对本申请实施例的实施过程构成任何限定。It should also be understood that, in the various embodiments of the present application, the size of the sequence number of each process does not mean the order of execution. The execution order of each process should be determined by its function and internal logic, and should not correspond to the embodiments of the present application. The implementation process constitutes any limitation.
还应理解,本说明书中描述的各种实施方式,既可以单独实施,也可以组合实施,本申请实施例对此并不限定。It should also be understood that the various implementation manners described in this specification can be implemented individually or in combination, which is not limited in the embodiments of the present application.
本申请实施例的技术方案可以应用于各种芯片,例如存储芯片,处理芯片,传感器芯片等等,本申请实施例对此并不限定。The technical solutions of the embodiments of the present application can be applied to various chips, such as memory chips, processing chips, sensor chips, etc., which are not limited in the embodiments of the present application.
可选地,本申请实施例的技术方案可以应用于各种图像传感芯片,例如生物特征识别图像传感器或者拍摄装置中的图像传感器,但本申请实施例对此并不限定。Optionally, the technical solution of the embodiment of the present application may be applied to various image sensor chips, such as a biometric image sensor or an image sensor in a photographing device, but the embodiment of the present application is not limited thereto.
作为一种常见的应用场景,本申请实施例提供的芯片可以应用在智能手机、相机、平板电脑等移动终端中或者服务器、超算设备等其它电子设备中。As a common application scenario, the chip provided in the embodiments of the present application can be used in mobile terminals such as smart phones, cameras, and tablet computers, or in other electronic devices such as servers and supercomputers.
图1至图3示出了三种互补金属氧化物半导体(Complementary Metal Oxide Semiconductor,CMOS)图像传感芯片10的结构示意图,该CMOS图像传感芯片为可将光学图像转换为数字信号的传感器芯片,广泛应用于数码产品、移动终端、安防监控以及科研工业等各个领域。作为一种常见的应用场景,本申请实施例提供的图像传感芯片10可以应用在电子设备的拍摄装置中,例如,手机的前置或者后置摄像头中。Figures 1 to 3 show schematic structural diagrams of three complementary metal oxide semiconductor (Complementary Metal Oxide Semiconductor, CMOS) image sensor chips 10. The CMOS image sensor chip is a sensor chip that can convert optical images into digital signals. , It is widely used in various fields such as digital products, mobile terminals, security monitoring and scientific research industry. As a common application scenario, the image sensor chip 10 provided in the embodiment of the present application can be applied to a photographing device of an electronic device, for example, a front or rear camera of a mobile phone.
图1示出了一种传统的图像传感芯片10的示意性结构图。如图1所示,图像传感芯片10在单颗晶片100上制造形成,该图像传感器10在晶片100上可大致分为两块区域:像素阵列区110和处理电路区120。其中,像素阵列区110包括多个CMOS像素单元组成的像素阵列,用于接收光信号并将光信号转换为对应的电信号。图像传感器10中的像素阵列区110中的像素总数是衡量图像传感器的主要技术指标之一,决定了图像传感器的感光性能,分辨率等多个因素,因此,一般占用的面积较大,可选地,该像素阵列区110占据整个晶片100的70%以上面积。在像素阵列区110中,每一个像素单元由一个光电二极管(Photo-diode,PD)和一个或多个CMOS开关管组成,因此像素阵列区110的器件类型少,电路结构相对简单,器件工艺要求相对较低,例如,采用65nm工艺即可满足像素阵列区的设计要求。FIG. 1 shows a schematic structural diagram of a conventional image sensor chip 10. As shown in FIG. 1, the image sensor chip 10 is manufactured on a single wafer 100. The image sensor 10 on the wafer 100 can be roughly divided into two areas: a pixel array area 110 and a processing circuit area 120. Wherein, the pixel array area 110 includes a pixel array composed of a plurality of CMOS pixel units for receiving light signals and converting the light signals into corresponding electrical signals. The total number of pixels in the pixel array area 110 of the image sensor 10 is one of the main technical indicators for measuring the image sensor, which determines the photosensitive performance, resolution and other factors of the image sensor. Therefore, it generally occupies a larger area and is optional. Ground, the pixel array area 110 occupies more than 70% of the area of the entire wafer 100. In the pixel array area 110, each pixel unit is composed of a photo-diode (PD) and one or more CMOS switch tubes. Therefore, the pixel array area 110 has fewer device types, relatively simple circuit structure, and device process requirements. Relatively low, for example, the 65nm process can meet the design requirements of the pixel array area.
此外,处理电路区120可以包括控制像素阵列的控制电路、处理像素阵 列产生的电信号的信号处理电路、模数转换电路以及数字处理电路等功能电路,用于配合像素阵列进行工作以产生数字图像信号。该处理电路区120在整个晶片100上占据的面积较小,但在这些功能电路中,例如数字处理电路,由于需要实现较复杂的功能,电路结构相对复杂,器件类型多且集成度高,因此工艺要求相对较高,例如,需要采用45nm及以下的工艺才能满足功能电路的设计要求,这些工艺的加工成本更高。In addition, the processing circuit area 120 may include a control circuit for controlling the pixel array, a signal processing circuit for processing electrical signals generated by the pixel array, an analog-to-digital conversion circuit, a digital processing circuit and other functional circuits for working with the pixel array to generate digital images. signal. The processing circuit area 120 occupies a small area on the entire wafer 100, but in these functional circuits, such as digital processing circuits, due to the need to implement more complex functions, the circuit structure is relatively complex, the device types are many and the integration is high, so The process requirements are relatively high. For example, processes of 45nm and below are required to meet the design requirements of functional circuits, and the processing costs of these processes are higher.
图2示出了一种堆叠式图像传感芯片10的示意性结构图。如图2所示,图像传感芯片10由上、下两颗晶片堆叠形成,像素阵列区110位于第一晶片101上,用于获取光信号并转换为电信号。在第二晶片102上包含了由大量模拟和数字电路组成的处理电路区120,包括信号处理电路和控制电路,该信号处理电路用于进行电信号的处理,该控制电路用于控制像素阵列中的像素工作。可选地,可以将第一晶片101称为像素晶片(Pixel Die),其所对应的晶圆称为像素晶圆(Pixel Wafer);而将第二晶片102称为逻辑晶片(Logic Die),其所对应的晶圆称为逻辑晶圆(Logic Wafer)或者图像信号处理晶圆(Image Signal Processing Wafer,ISP Wafer)。其中,像素晶片和逻辑晶片的形状大小完全相同,在堆叠过程中,像素晶片与逻辑晶片在垂直方向上完全重合。FIG. 2 shows a schematic structural diagram of a stacked image sensor chip 10. As shown in FIG. 2, the image sensor chip 10 is formed by stacking two upper and lower wafers. The pixel array area 110 is located on the first wafer 101 and is used to obtain optical signals and convert them into electrical signals. The second wafer 102 contains a processing circuit area 120 composed of a large number of analog and digital circuits, including a signal processing circuit and a control circuit, the signal processing circuit is used to process electrical signals, and the control circuit is used to control the pixel array Pixel work. Optionally, the first chip 101 may be called a pixel die, and the corresponding wafer may be called a pixel wafer; and the second chip 102 may be called a logic die. The corresponding wafer is called logic wafer (Logic Wafer) or image signal processing wafer (Image Signal Processing Wafer, ISP Wafer). Among them, the shape and size of the pixel chip and the logic chip are exactly the same. During the stacking process, the pixel chip and the logic chip are completely overlapped in the vertical direction.
图3示出了另一种堆叠式图像传感芯片10的示意性结构图。如图3所示,图像传感芯片10由三层晶片堆叠形成,由上至下分别为像素晶片101、内存晶片103以及逻辑晶片102。该三种晶片的形状大小完全相同,在堆叠过程中,像素晶片101、逻辑晶片102以及内存晶片103在垂直方向上完全重合。其中,内存晶片103上包含存储电路130,用于存储像素阵列和/或处理电路产生的电信号。可选地,存储电路的电路结构也相对复杂,集成度高,线宽线距较小,因此同样需要较高的工艺进行制造。FIG. 3 shows a schematic structural diagram of another stacked image sensor chip 10. As shown in FIG. 3, the image sensor chip 10 is formed by stacking three layers of wafers, and from top to bottom are the pixel wafer 101, the memory wafer 103, and the logic wafer 102, respectively. The shapes and sizes of the three types of wafers are completely the same. During the stacking process, the pixel wafer 101, the logic wafer 102, and the memory wafer 103 completely overlap in the vertical direction. The memory chip 103 includes a storage circuit 130 for storing electrical signals generated by the pixel array and/or processing circuit. Optionally, the circuit structure of the memory circuit is relatively complicated, the integration is high, and the line width and line spacing are small. Therefore, a higher process is also required for manufacturing.
可选地,该存储电路可以为动态随机存取存储器(Dynamic Random Access Memory,DRAM)电路。应理解,该存储电路还可以为其它类型的存储电路,例如其它随机存储(Random Access Memory,RAM)器电路或者只读存储器电路(Read Only Memory,ROM)电路,本申请实施例对此不做任何限定。Optionally, the storage circuit may be a dynamic random access memory (Dynamic Random Access Memory, DRAM) circuit. It should be understood that the storage circuit may also be other types of storage circuits, such as other random access memory (RAM) circuit or read only memory (ROM) circuit, which is not done in the embodiment of the application. Any restrictions.
相比于图1中的非堆叠式结构,图2和图3中的堆叠式图像传感器具有三大优势:一是像素阵列区与处理电路区不会互相抢占空间,因此可以放入 更多的像素,提高图像传感器的感光性能,分辨率等等。二是逻辑晶圆可以采用更加先进的工艺节点制作,带来晶体管密度和算力的提升,从而使得堆叠式图像传感芯片能提供更多的功能,例如硬件高动态范围成像(High Dynamic Range Imaging,HDR),慢动作拍摄等。三是可以将存储功能集成在图像传感器中,从而实现更快的数据读取速度。因此,堆叠式图像传感器目前在高端的图像传感器中占据主导地位。Compared with the non-stacked structure in Figure 1, the stacked image sensor in Figures 2 and 3 has three advantages: First, the pixel array area and the processing circuit area will not occupy each other's space, so more can be placed Pixels, improve the photosensitive performance, resolution and so on of the image sensor. The second is that logic wafers can be made with more advanced process nodes, which will increase transistor density and computing power, so that stacked image sensor chips can provide more functions, such as hardware high dynamic range imaging (High Dynamic Range Imaging). , HDR), slow motion shooting, etc. The third is that the storage function can be integrated in the image sensor to achieve faster data reading speed. Therefore, stacked image sensors currently dominate high-end image sensors.
以上结合图1至图3,以传统非堆叠式图像传感芯片与堆叠式图像传感芯片为例,对比了两者的结构与性能差异,应理解,其他领域中的芯片,例如存储器芯片、处理器芯片等等也可以采用传统的非堆叠式结构以及堆叠式结构,采用堆叠式结构的存储器芯片与处理器芯片等等与非堆叠式结构相比,同样具有其各自的优点,例如具有更大的存储空间,更快的处理速度以及更小的体积等等。With reference to Figures 1 to 3 above, taking the traditional non-stacked image sensor chip and the stacked image sensor chip as an example, the structure and performance differences between the two are compared. It should be understood that chips in other fields, such as memory chips, The processor chip, etc. can also adopt the traditional non-stacked structure and the stacked structure. Compared with the non-stacked structure, the memory chips and processor chips that adopt the stacked structure also have their own advantages, such as more Large storage space, faster processing speed and smaller size, etc.
但是目前,通过晶圆级键合工艺,以晶圆到晶圆(Wafer to Wafer,W2W)的方式将两层晶圆堆叠至一起时,两层晶圆上多个晶片(Die)一一对应,且两层晶圆中对应的晶片大小相同,采用该方式便于工艺进行晶片对准,贴合精度高。但当两层晶圆上的电路的结构与功能不同时,对应的两个相同面积的晶片上,生长的电路面积不同,从而使得两层晶圆中某一层晶圆的面积没有得到充分利用,增加了制造成本。且在晶圆键合工艺中,可能将一个晶圆上坏的芯片强制键合至另一个晶圆上好的芯片上,从而影响良率,也会造成制造成本的增加。However, at present, when two layers of wafers are stacked together in a wafer-to-wafer (W2W) manner through a wafer-level bonding process, multiple dies on the two-layer wafers correspond one-to-one , And the size of the corresponding wafers in the two layers of wafers is the same. This method is used to facilitate the process of wafer alignment, and the bonding accuracy is high. However, when the structure and function of the circuits on the two layers of wafers are different, the areas of the circuits grown on the corresponding two wafers of the same area are different, so that the area of a certain layer of wafers in the two layers of wafers is not fully utilized , Increased manufacturing costs. In addition, in the wafer bonding process, a bad chip on one wafer may be forcibly bonded to a good chip on another wafer, which affects the yield rate and also causes an increase in manufacturing costs.
例如,如图4所示,像素晶圆11上制备有多个像素晶片101,每个像素晶片上均包括像素阵列区110,该像素晶片101中的大部分区域均被像素阵列区110占据。如图5所示,逻辑晶圆12与像素晶圆11的形状大小完全相同,在该逻辑晶圆12上制备有多个逻辑晶片102。该多个逻辑晶片102大小相同且与多个像素晶片101一一对应,当像素晶圆11与逻辑晶圆12进行晶圆级键合时,通过晶圆四周的标记进行对准,像素晶圆11堆叠在逻辑晶圆12上方,两者在垂直方向上完全重合,像素晶圆11中的每个像素晶片分别与逻辑晶圆12中的一个逻辑晶片对准,从而一个像素晶片对准键合在一个逻辑晶片上方。每个逻辑晶片102上均包括处理电路区120。该逻辑晶片102中仅部分区域被处理电路区120占据。因此,逻辑晶圆102上部分空间被浪费。且像素晶圆11以及逻辑晶圆上12上部分失效或者故障的芯片可能会强 制键合在良好的芯片上,导致键合后芯片故障,影响整体的良率。For example, as shown in FIG. 4, a plurality of pixel wafers 101 are prepared on the pixel wafer 11, and each pixel wafer includes a pixel array area 110, and most of the area in the pixel wafer 101 is occupied by the pixel array area 110. As shown in FIG. 5, the shape and size of the logic wafer 12 and the pixel wafer 11 are exactly the same, and a plurality of logic wafers 102 are prepared on the logic wafer 12. The plurality of logic wafers 102 have the same size and correspond to the plurality of pixel wafers 101 one-to-one. When the pixel wafer 11 and the logic wafer 12 are bonded at the wafer level, alignment is performed by markings around the wafer, and the pixel wafer 11 is stacked on the logic wafer 12, and the two are completely overlapped in the vertical direction. Each pixel wafer in the pixel wafer 11 is aligned with a logic wafer in the logic wafer 12, so that one pixel wafer is aligned and bonded Above a logic chip. Each logic chip 102 includes a processing circuit area 120. Only part of the area of the logic chip 102 is occupied by the processing circuit area 120. Therefore, part of the space on the logic wafer 102 is wasted. In addition, part of the failed or faulty chips on the pixel wafer 11 and the logic wafer 12 may be forcibly bonded to a good chip, resulting in chip failure after bonding and affecting the overall yield.
类似地,若堆叠式的图像传感芯片包括内存晶片,内存晶片对应的晶圆为内存晶圆,该内存晶圆上晶片的分布与图2中逻辑晶圆12上逻辑晶片的分布类似,内存晶圆与像素晶圆以及逻辑晶圆的形状大小完全相同,晶圆键合时,内存晶圆堆叠在逻辑晶圆的上方,像素晶圆堆叠在内存晶圆的上方,三者在垂直方向上完全重合,且像素晶圆中的一个像素晶片、内存晶圆中的一个内存晶片以及逻辑晶圆中的一个逻辑晶片一一对应。内存晶片上同样仅有部分区域被存储电路占据,造成内存晶圆上的部分空间被浪费,故障的内存芯片经过强制键合后影响整体良率,且三层晶圆的键合也会增加制造成本,例如现有技术中通常是将三层面积相同的晶圆通过两次晶圆级键合进行堆叠,这样就会增加一次键合的工艺,进而会进一步增加芯片的制作工艺和制作成本。Similarly, if the stacked image sensor chip includes a memory chip, the wafer corresponding to the memory chip is a memory wafer, and the distribution of the chips on the memory wafer is similar to the distribution of the logic chips on the logic wafer 12 in FIG. The shape and size of the wafer, the pixel wafer and the logic wafer are exactly the same. When the wafer is bonded, the memory wafer is stacked on top of the logic wafer, and the pixel wafer is stacked on top of the memory wafer. The three are in the vertical direction. They are completely overlapped, and one pixel chip in the pixel wafer, one memory chip in the memory wafer, and one logic chip in the logic wafer are in one-to-one correspondence. Only part of the memory chip area is also occupied by the storage circuit, causing some space on the memory wafer to be wasted. The failure of the memory chip after forced bonding affects the overall yield, and the bonding of the three-layer wafer will also increase manufacturing Cost. For example, in the prior art, three layers of wafers with the same area are usually stacked through two wafer-level bonding, which will increase the process of bonding once, which will further increase the manufacturing process and manufacturing cost of the chip.
另外,在另一种晶片与晶圆堆叠(Chip to Wafer,C2W)的键合工艺中,多个像素晶片生长于像素晶圆上,其上表面形成有微透镜阵列,且该微透镜阵列高于像素晶圆的上表面。多个逻辑晶片在逻辑晶圆上制备完成后,将多个逻辑晶片进行切割,然后再将多个逻辑晶片与像素晶圆的下表面进行键合,此时,需要将像素晶圆颠倒放置,即其设置有微透镜阵列的上表面朝下,下表面朝上,才能实现多个小的逻辑晶片与像素晶圆的电连接。因而,需要在微透镜阵列上方通过临时键合胶设置一个临时衬底,便于支撑逻辑晶片与像素晶圆的电连接。在连接完逻辑晶片与像素晶圆后,再去除微透镜阵列表面的键合胶,但去除过程中可能会存在胶的残留,因而影响像素晶片的性能,从而影响芯片的良率和整体性能。此外,由于增加了芯片制造过程中的工序,也会增加芯片的成本。In addition, in another chip-to-wafer (C2W) bonding process, a plurality of pixel wafers are grown on the pixel wafer, and a microlens array is formed on the upper surface of the microlens array. On the upper surface of the pixel wafer. After multiple logic chips are prepared on the logic wafer, the multiple logic chips are cut, and then the multiple logic chips are bonded to the bottom surface of the pixel wafer. At this time, the pixel wafer needs to be placed upside down. That is, the upper surface provided with the micro lens array faces downwards and the lower surface faces upwards, so that electrical connections between a plurality of small logic chips and pixel wafers can be realized. Therefore, it is necessary to provide a temporary substrate with temporary bonding glue above the microlens array to facilitate the electrical connection between the logic chip and the pixel wafer. After the logic chip and the pixel wafer are connected, the bonding glue on the surface of the microlens array is removed, but there may be glue residue during the removal process, which affects the performance of the pixel chip, thereby affecting the yield and overall performance of the chip. In addition, due to the increase of the process in the chip manufacturing process, the cost of the chip will also increase.
基于上述问题,本申请提出了一种堆叠式芯片结构,通过充分利用晶圆的大小,制备更多的晶片,并对不同大小的晶片进行晶圆级键合,从而在实现堆叠式芯片的同时,降低单颗晶片的成本,从而降低堆叠式芯片的整体制造成本。且在键合过程中不需要设置临时键合胶以及去除临时键合胶,不会带来额外工艺的成本,不会影响芯片中光学器件的性能。Based on the above-mentioned problems, this application proposes a stacked chip structure. By making full use of the size of the wafer, more wafers are prepared, and wafer-level bonding of wafers of different sizes is performed, so as to achieve stacked chips at the same time. , To reduce the cost of a single wafer, thereby reducing the overall manufacturing cost of stacked chips. In addition, there is no need to set temporary bonding glue and remove the temporary bonding glue during the bonding process, which will not bring additional process costs, and will not affect the performance of the optical devices in the chip.
图6示出了本申请实施例的一种堆叠式芯片的分体结构示意图。FIG. 6 shows a schematic diagram of the split structure of a stacked chip according to an embodiment of the present application.
如图6所示,该堆叠式芯片20包括:As shown in FIG. 6, the stacked chip 20 includes:
载体晶片200,其中设置有第一凹槽201;A carrier wafer 200, in which a first groove 201 is provided;
第一晶片210,设置于该第一凹槽201中;The first wafer 210 is arranged in the first groove 201;
第二晶片220,堆叠于该第一晶片210和载体晶片200的上方,该第二晶片220的表面面积大于该第一晶片210的表面面积。The second wafer 220 is stacked above the first wafer 210 and the carrier wafer 200, and the surface area of the second wafer 220 is larger than the surface area of the first wafer 210.
具体地,该第一晶片210和第二晶片220为片状结构,因此,厚度较小。该第一晶片210的表面面积为第一晶片210的上表面面积或者下表面面积,通常而言,第一晶片210的上表面面积与下表面面积相等。同样的,第二晶片220的表面面积也为第一晶片210的上表面面积或者下表面面积。Specifically, the first wafer 210 and the second wafer 220 have a sheet-like structure, and therefore, have a small thickness. The surface area of the first wafer 210 is the upper surface area or the lower surface area of the first wafer 210. Generally speaking, the upper surface area and the lower surface area of the first wafer 210 are equal. Similarly, the surface area of the second wafer 220 is also the upper surface area or the lower surface area of the first wafer 210.
具体地,该载体晶片200为衬底晶片,其厚度大于上述第一晶片210,且该载体晶片200用于承载上述第一晶片210和上述第二晶片220,该载体晶片可以为硅、玻璃、陶瓷或者其它任意材料,本申请实施例对此不做限定。在一种可能的实施方式中,该载体晶片200为单晶硅。Specifically, the carrier wafer 200 is a substrate wafer with a thickness greater than that of the first wafer 210, and the carrier wafer 200 is used to carry the first wafer 210 and the second wafer 220. The carrier wafer may be silicon, glass, Ceramics or other arbitrary materials are not limited in the embodiments of the present application. In a possible implementation, the carrier wafer 200 is monocrystalline silicon.
可选地,在本申请实施例中,上述第一晶片210和第二晶片220用于实现不同的电路功能,例如,若该堆叠式芯片20为一种图像传感芯片,第一晶片210可以为上述图1中的像素晶片101,第二晶片220可以为上述图1中的逻辑晶片102或者内存晶片103。若该第二晶片220为逻辑晶片,则该第二晶片上包含了由大量模拟和数字电路组成的处理电路区120,包括信号处理电路和控制电路,该信号处理电路用于进行电信号的处理,该控制电路用于控制像素阵列中的像素工作。Optionally, in the embodiment of the present application, the above-mentioned first chip 210 and the second chip 220 are used to implement different circuit functions. For example, if the stacked chip 20 is an image sensor chip, the first chip 210 may It is the pixel chip 101 in FIG. 1 described above, and the second chip 220 may be the logic chip 102 or the memory chip 103 in FIG. 1 described above. If the second chip 220 is a logic chip, the second chip includes a processing circuit area 120 composed of a large number of analog and digital circuits, including a signal processing circuit and a control circuit, and the signal processing circuit is used to process electrical signals , The control circuit is used to control the work of the pixels in the pixel array.
可选地,若该堆叠式芯片20为处理器芯片,第一晶片210可以为中央处理器(Central Processing Unit,CPU)晶片,第二晶片220可以为图像处理器(Graphics Processing Unit,GPU)晶片,或者其它控制处理晶片。应理解,该堆叠式芯片20可以为多种不同领域中的芯片,其中的第一晶片和第二晶片为实现对应电路功能的功能芯片,且第一晶片和第二晶片的电路功能不同。Optionally, if the stacked chip 20 is a processor chip, the first chip 210 may be a central processing unit (CPU) chip, and the second chip 220 may be a graphics processing unit (GPU) chip , Or other control processing wafers. It should be understood that the stacked chip 20 may be chips in a variety of different fields, in which the first chip and the second chip are functional chips that implement corresponding circuit functions, and the circuit functions of the first chip and the second chip are different.
可选地,该载体晶片200中的第一凹槽201的形状大小可以与第一晶片210的形状大小相同或者略大于该第一晶片210,换言之,载体晶片200中的第一凹槽201的截面面积可以与第一晶片210的表面面积相同或者略大于该第一晶片210。例如,该第一晶片210为薄片结构,该第一凹槽201的深度与该第一晶片210的厚度相同或者略大于该第一晶片210的厚度,该第一凹槽201的长度和宽度也分别略大于该第一晶片210的长度和宽度,使得第一凹槽201可以完全将该第一晶片210容纳其中。可选地,该第一凹槽201 的长宽深分别比第一晶片210的长宽高大25μm,或者其它任意数值,本申请实施例对此不做限定。Optionally, the shape and size of the first groove 201 in the carrier wafer 200 may be the same as or slightly larger than the shape and size of the first wafer 210. In other words, the shape and size of the first groove 201 in the carrier wafer 200 The cross-sectional area may be the same as or slightly larger than the surface area of the first wafer 210. For example, the first wafer 210 has a sheet structure, the depth of the first groove 201 is the same as the thickness of the first wafer 210 or slightly larger than the thickness of the first wafer 210, and the length and width of the first groove 201 are also It is slightly larger than the length and width of the first wafer 210 respectively, so that the first groove 201 can completely accommodate the first wafer 210 therein. Optionally, the length, width, and depth of the first groove 201 are 25 μm larger than the length, width, and height of the first wafer 210 respectively, or any other value, which is not limited in the embodiment of the present application.
由于第二晶片220的表面面积大于第一晶片210的表面面积,当需要将第二晶片220堆叠在第一晶片210上方时,需要一个支撑结构,例如本申请实施例中的载体晶片200为第一晶片210和第二晶片220提供支撑,因此,第二晶片220堆叠在第一晶片210上方时,第二晶片220也堆叠在载体晶片200的上方。可选地,该第二晶片220可以通过晶圆级键合工艺堆叠于第一晶片210上方。Since the surface area of the second wafer 220 is larger than the surface area of the first wafer 210, when the second wafer 220 needs to be stacked on the first wafer 210, a supporting structure is required. For example, the carrier wafer 200 in the embodiment of the present application is the first wafer. The first wafer 210 and the second wafer 220 provide support. Therefore, when the second wafer 220 is stacked on the first wafer 210, the second wafer 220 is also stacked on the carrier wafer 200. Optionally, the second chip 220 may be stacked on the first chip 210 through a wafer-level bonding process.
可选地,除了上述将第二晶片220设置在载体晶片200的第一凹槽的方式外,还可以将第二晶片220直接粘接固定在载体晶片200上方,或者通过其它的固定方式将第二晶片220稳定固定在载体晶片200上,本申请实施例对此不做限定。Optionally, in addition to the above-mentioned method of disposing the second wafer 220 in the first groove of the carrier wafer 200, the second wafer 220 may also be directly bonded and fixed on the carrier wafer 200, or the second wafer 220 may be fixed by other fixing methods. The two wafers 220 are stably fixed on the carrier wafer 200, which is not limited in the embodiment of the present application.
可选地,上述第二晶片220与载体晶片200和第一晶片210之间设置有再布线层(Re-Distribution Layer,RDL)214,该第二晶片220通过该再布线层214与第一晶片210电连接。该再布线层214用于连接第一晶片210输入输出(Input Output,IO)端口,并对第一晶片210的IO端口进行重新布局,能够提高晶片之间互联的可靠性。第二晶片220通过连接至该再布线层214连接至第一晶片210。Optionally, a re-distribution layer (RDL) 214 is provided between the second wafer 220 and the carrier wafer 200 and the first wafer 210, and the second wafer 220 communicates with the first wafer through the re-distribution layer 214. 210 electrical connection. The rewiring layer 214 is used to connect the Input Output (IO) ports of the first chip 210 and re-layout the IO ports of the first chip 210, which can improve the reliability of interconnection between the chips. The second wafer 220 is connected to the first wafer 210 by being connected to the rewiring layer 214.
可选地,在一种可能的实施方式中,载体晶片200的表面面积与第二晶片220的表面面积相等,该第二晶片220与第一晶片210之间通过晶圆级键合实现堆叠。Optionally, in a possible implementation manner, the surface area of the carrier wafer 200 is equal to the surface area of the second wafer 220, and the second wafer 220 and the first wafer 210 are stacked by wafer-level bonding.
若该第二晶片220为逻辑晶片,第一晶片210为像素晶片,则该逻辑晶片中的信号处理电路以及控制电路通过再布线层与像素晶片电连接。If the second chip 220 is a logic chip and the first chip 210 is a pixel chip, the signal processing circuit and the control circuit in the logic chip are electrically connected to the pixel chip through the rewiring layer.
在本申请实施例中,通过载体晶片中第一凹槽为第一晶片提供支撑和稳定,实现将大面积的第二晶片堆叠在小面积的第一晶片上,从而可以在实现堆叠芯片结构的同时,还能够在晶圆上尽可能多的制造小面积的第一晶片,降低单颗第一晶片的成本,从而降低整体的制造成本。此外,第一晶片不是以晶圆的方式与第二晶片进行键合,而是单颗的放入载体晶片的第一凹槽中,载体晶片与第二晶片可以分别为载体晶圆以及第二晶圆上的晶片,载体晶圆和第二晶圆进行晶圆级键合,因此,可以在进行晶圆级键合前,对单颗的第一晶片进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高 整体芯片的良率,进一步降低整体的制造成本。第三,还可以在晶圆级键合前,对第二晶圆上的多个第二晶片进行测试,筛选出性能良好的第二晶片,在性能较差的第二晶片对应的第一凹槽的位置上,放置与第一晶片相同大小的替代物,而不放入第一晶片,也能够提高整体芯片的良率,降低制造成本。In the embodiment of the present application, the first groove in the carrier wafer is used to provide support and stability for the first wafer, so that a large-area second wafer can be stacked on a small-area first wafer, so that the stacking chip structure can be implemented. At the same time, it is also possible to manufacture as many small-area first chips as possible on the wafer, reducing the cost of a single first chip, thereby reducing the overall manufacturing cost. In addition, the first chip is not bonded to the second chip in the manner of a wafer, but a single chip is placed in the first groove of the carrier chip. The carrier chip and the second chip can be the carrier wafer and the second chip respectively. The wafers on the wafer, the carrier wafer, and the second wafer are bonded at the wafer level. Therefore, a single first wafer can be tested to screen out wafers with good performance before wafer-level bonding. Removal of poor-performance wafers improves the overall chip yield and further reduces the overall manufacturing cost. Third, it is also possible to test multiple second wafers on the second wafer before wafer-level bonding, and screen out second wafers with good performance. At the position of the groove, placing a substitute of the same size as the first wafer without placing the first wafer can also increase the overall chip yield and reduce manufacturing costs.
图7示出了本申请实施例的一种堆叠式芯片20的截面示意图。FIG. 7 shows a schematic cross-sectional view of a stacked chip 20 according to an embodiment of the present application.
可选地,如图7所示,在本申请实施例中,该第一晶片210通过胶层211在第一凹槽201的底部,以将第一晶片210稳定固定于第一凹槽中201。该胶层包括但不限于晶片粘结膜(Die Attach Film,DAF)。当该胶层211的厚度为d1,第一晶片210的高度为d2,第一晶片210和胶层211的厚度之和d1+d2小于等于第一凹槽201的深度d0,换言之,第一晶片210的上表面不高载体晶片的上表面。可选地,该d1+d2与d0之差可以在2~5μm之间,也可以为其它数值,本申请实施例对此不做限定。Optionally, as shown in FIG. 7, in the embodiment of the present application, the first wafer 210 is at the bottom of the first groove 201 through the adhesive layer 211 to stably fix the first wafer 210 in the first groove 201 . The adhesive layer includes but is not limited to die attach film (DAF). When the thickness of the adhesive layer 211 is d1 and the height of the first wafer 210 is d2, the sum d1+d2 of the thickness of the first wafer 210 and the adhesive layer 211 is less than or equal to the depth d0 of the first groove 201, in other words, the first wafer 210 The upper surface of 210 is not higher than the upper surface of the carrier wafer. Optionally, the difference between d1+d2 and d0 may be between 2 μm and 5 μm, or may be other values, which is not limited in the embodiment of the present application.
可选地,该第一晶片210与第一凹槽201之间的空隙可以填充有填充层212,以将第一晶片210进一步稳定的固定在第一凹槽201中。该填充层212包括但不限于是高分子有机材料,例如干膜(Dry Film)材料或者其它流动性较好的高分子材料。在本申请实施例中,该填充层212可以为一种可以光刻的干膜材料,在真空及加热的条件下可以无空洞的填充与第一晶片210与第一凹槽201之间,且采用可以光刻的材料作为填充层,在对第一凹槽与第一晶片之间的空隙进行填充固定的同时,还可以便于工艺加工,节省芯片的制造时间。Optionally, the gap between the first wafer 210 and the first groove 201 may be filled with a filling layer 212 to further stably fix the first wafer 210 in the first groove 201. The filling layer 212 includes, but is not limited to, a polymer organic material, such as a dry film (Dry Film) material or other polymer materials with good fluidity. In the embodiment of the present application, the filling layer 212 can be a dry film material that can be photoetched, and can fill the space between the first wafer 210 and the first groove 201 without cavities under vacuum and heating conditions, and A photolithographic material is used as the filling layer, while filling and fixing the gap between the first groove and the first wafer, it can also facilitate the process and save the manufacturing time of the chip.
可选地,如图7所示,第一晶片210中包括第一金属线路层213,该第一金属线路层213位于第一晶片210的表面,具体为第一晶片210的IO端口,用于与其他电学元器件,例如与第二晶片220进行电连接。此外,上述填充层212还可以覆盖于该载体晶片200的上表面以及第一晶片210上表面中除第一金属线路层213外的部分区域。Optionally, as shown in FIG. 7, the first wafer 210 includes a first metal circuit layer 213, and the first metal circuit layer 213 is located on the surface of the first wafer 210, specifically the IO port of the first wafer 210, for It is electrically connected with other electrical components, for example, with the second wafer 220. In addition, the above-mentioned filling layer 212 may also cover the upper surface of the carrier wafer 200 and a part of the upper surface of the first wafer 210 except for the first metal circuit layer 213.
如图7所示,该第一金属线路层213以及填充层212的上方形成有上述再布线层214,该再布线层214同样为金属走线层,其与第一晶片210表面的第一金属线路层213接触,形成二者的电连接关系。As shown in FIG. 7, the rewiring layer 214 described above is formed on the first metal circuit layer 213 and the filling layer 212. The rewiring layer 214 is also a metal wiring layer, which is in contact with the first metal on the surface of the first wafer 210. The circuit layer 213 is in contact to form an electrical connection between the two.
应理解,图7中仅示出了一层再布线层214的情况,该堆叠式芯片还可以包括多层再布线层214。若该堆叠式芯片20中包括多层再布线层214,多层再布线层214之间形成有绝缘介质层,且多层再布线层214之间可以相互 形成电连接,该多层再布线层214中的位于最下方的一层再布线层214可以与图7中的再布线层214相同。It should be understood that only one rewiring layer 214 is shown in FIG. 7, and the stacked chip may also include multiple rewiring layers 214. If the stacked chip 20 includes multiple rewiring layers 214, an insulating dielectric layer is formed between the multiple rewiring layers 214, and the multiple rewiring layers 214 can form electrical connections with each other. The lowermost rewiring layer 214 in 214 may be the same as the rewiring layer 214 in FIG. 7.
可选地,在该至少一层再布线层214以及填充层212的上方,还形成有绝缘介质层215,用于覆盖该至少一层再布线层214以及填充层212的全部区域,该绝缘介质层215的上表面为平坦表面,具有满足一定阈值要求的平坦度和粗糙度,以减小至少一层再布线层214造成的叠层形貌高低不平的影响,使得第二晶片220与第一晶片210的键合稳定。在本申请实施例中,该绝缘介质层215的材料包括但不限于氧化硅等绝缘介质,具体材料不做限定。Optionally, above the at least one rewiring layer 214 and the filling layer 212, an insulating dielectric layer 215 is further formed to cover the entire area of the at least one rewiring layer 214 and the filling layer 212. The upper surface of the layer 215 is a flat surface with flatness and roughness that meets certain threshold requirements, so as to reduce the influence of the unevenness of the stack topography caused by the at least one rewiring layer 214, so that the second wafer 220 and the first The bonding of the wafer 210 is stable. In the embodiment of the present application, the material of the insulating dielectric layer 215 includes, but is not limited to, an insulating medium such as silicon oxide, and the specific material is not limited.
继续参见图7,第二晶片220的下表面与第一晶片210上方的绝缘介质层215键合在一起。可选地,第二晶片220的下表面同样为平坦表面,同样具有满足一定阈值要求的平坦度和粗糙度,使得该第二晶片220与绝缘介质层215的键合稳定。Continuing to refer to FIG. 7, the lower surface of the second wafer 220 is bonded to the insulating dielectric layer 215 above the first wafer 210. Optionally, the lower surface of the second wafer 220 is also a flat surface, and also has flatness and roughness that meet a certain threshold requirement, so that the bonding between the second wafer 220 and the insulating dielectric layer 215 is stable.
可选地,可以通过通孔互连结构,例如硅通孔(Through Silicon Via,TSV)互连结构实现第一晶片210与第二晶片220之间的电连接。具体地,通孔互连结构是一项高密度封装技术,在晶片与晶片之间制作垂直的通孔,并在通孔中填充多晶硅、铜、钨等导电物质,利用通孔完成晶片之间的互连,通孔技术可以通过垂直互连减小互联长度,减小信号延迟,降低电容/电感,实现晶片间的低功耗,高速通讯,增加宽带和实现器件集成的小型化。Optionally, the electrical connection between the first wafer 210 and the second wafer 220 may be achieved through a through-hole interconnection structure, such as a Through Silicon Via (TSV) interconnection structure. Specifically, the through-hole interconnection structure is a high-density packaging technology. Vertical through holes are made between the wafer and the wafer, and the through holes are filled with conductive materials such as polysilicon, copper, and tungsten. The through holes are used to complete the gap between the wafers. Through vertical interconnection, through-hole technology can reduce the length of interconnection, reduce signal delay, reduce capacitance/inductance, realize low power consumption between chips, high-speed communication, increase broadband and realize miniaturization of device integration.
应理解,在本申请中,通孔互连结构除了硅通孔互连结构外,还可以为其它材料的互连结构,例如氮化镓通孔互连结构、树脂通孔互连结构等等,本申请实施例对具体的通孔互连结构材料不做限定,下文以硅通孔互连结构举例说明,其它类型的通孔互连结构可以参照相关描述,此处不再赘述。It should be understood that, in the present application, the through-hole interconnection structure can be an interconnection structure of other materials, such as a gallium nitride through-hole interconnection structure, a resin through-hole interconnection structure, etc., in addition to the through-silicon via interconnection structure. The embodiments of the present application do not limit the specific materials of the through-hole interconnection structure. The through-silicon-via interconnection structure is used as an example for illustration. For other types of through-hole interconnection structures, reference may be made to related descriptions, which will not be repeated here.
具体地,如图7所示,第二晶片220的表面形成顶层金属线路层223,该顶层金属线路层可以包含有金属焊盘(Metal Pad),且第二晶片220内部还形成有第二金属线路层222,该第二金属线路层222用于传输第二晶片220的电信号。可选地,在本申请实施例中,硅通孔互连结构包括第一硅通孔2241以及第二硅通孔2242,其中,第一硅通孔互连结构2241连接顶层金属线路层223以及第一晶片210上方的再布线层214,第二硅通孔结构2242连接顶层金属线路层223以及第二晶片220内部的第二金属线路层222。因此,通过该硅通孔互连结构将第二晶片220中的第二金属线路层222连接至第一晶片210的再布线层214,从而实现第一晶片210与第二晶片220的电连接。Specifically, as shown in FIG. 7, a top metal circuit layer 223 is formed on the surface of the second wafer 220. The top metal circuit layer may include a metal pad, and a second metal is also formed inside the second wafer 220. The circuit layer 222, and the second metal circuit layer 222 is used to transmit electrical signals of the second chip 220. Optionally, in the embodiment of the present application, the TSV interconnect structure includes a first TSV 2241 and a second TSV 2242, wherein the first TSV interconnect structure 2241 is connected to the top metal circuit layer 223 and The rewiring layer 214 above the first wafer 210 and the second through silicon via structure 2242 are connected to the top metal circuit layer 223 and the second metal circuit layer 222 inside the second wafer 220. Therefore, the second metal circuit layer 222 in the second wafer 220 is connected to the rewiring layer 214 of the first wafer 210 through the through silicon via interconnection structure, thereby achieving electrical connection between the first wafer 210 and the second wafer 220.
可选地,第二晶片220表面的金属焊盘还用于连接第二晶片220与其他电学器件。例如,可以通过引线键合(Wire Bonding,WB)的方式将金属焊盘连接至电路板(Printed Circuit Board,PCB)或者其它类型的电路基板上。Optionally, the metal pads on the surface of the second wafer 220 are also used to connect the second wafer 220 with other electrical devices. For example, the metal pads can be connected to a printed circuit board (PCB) or other types of circuit substrates by wire bonding (WB).
可选地,在一种可能的实施方式中,堆叠式芯片20可以为一种存储芯片,其中第一晶片210为逻辑晶片,该逻辑晶片包括存储芯片中的处理电路,用于对信号进行控制并处理。第二晶片220为存储晶片,包括存储电路,其用于进行数据存储,可选地,在本申请实施例中,载体晶片200和第一晶片210上方可以堆叠有多个第二晶片,即逻辑晶片上方堆叠有多个存储晶片,以实现存储芯片更大的存储空间。Optionally, in a possible implementation manner, the stacked chip 20 may be a memory chip, wherein the first chip 210 is a logic chip, and the logic chip includes a processing circuit in the memory chip for controlling signals. And deal with it. The second chip 220 is a storage chip and includes a storage circuit, which is used for data storage. Optionally, in the embodiment of the present application, a plurality of second chips may be stacked on the carrier chip 200 and the first chip 210, that is, logic A plurality of storage chips are stacked above the chip to achieve a larger storage space for the storage chips.
可选地,在另一种可能的实施方式中,堆叠式芯片20还可以为一种堆叠式图像传感芯片,其中,第二晶片220可以为一种像素晶片,第一晶片210可以为逻辑晶片或者可以为内存晶片。可选地,在本申请实施例中,该像素晶片、逻辑晶片以及内存晶片可以与图1中的像素晶片101、逻辑晶片102以及内存晶片103相同,相关方案可以参照以上描述,此处不再赘述。Optionally, in another possible embodiment, the stacked chip 20 may also be a stacked image sensor chip, wherein the second chip 220 may be a pixel chip, and the first chip 210 may be a logic chip. The chip may also be a memory chip. Optionally, in the embodiment of the present application, the pixel chip, logic chip, and memory chip may be the same as the pixel chip 101, logic chip 102, and memory chip 103 in FIG. Go into details.
可选地,在本实施方式中,像素晶片的像素阵列中每一行像素单元可以连接至逻辑晶片上的行驱动电路上,该行驱动电路用于驱动像素晶片中每行像素单元依次工作并接收光信号。可选地,像素阵列中每一列像素单元也可以连接至逻辑晶片上的列控制电路上,该列控制电路用去驱动像素晶片中的每列像素单元的信号传输。Optionally, in this embodiment, each row of pixel units in the pixel array of the pixel chip can be connected to a row drive circuit on the logic chip, and the row drive circuit is used to drive each row of pixel units in the pixel chip to work in sequence and receive Light signal. Optionally, each column of pixel units in the pixel array can also be connected to a column control circuit on the logic chip, and the column control circuit is used to drive the signal transmission of each column of pixel units in the pixel chip.
在此情况下,像素晶片中的像素单元可以通过再布线层214连接至逻辑晶片中的IO接口。具体地,再布线层214中设置有与像素阵列中每行像素单元电连接的多个第一电连接点,该多个第一电连接点的位置分布与像素阵列中一列像素单元位置分布一致,和/或,再布线层214中设置有与像素阵列中每列像素单元电连接的多个第二电连接点,该多个第二电连接点的位置分布与像素阵列中一行像素单元位置分布一致。例如,每行像素单元的均连接至再布线层214,该每行像素单元连接至再布线层214的第一电连接点的位置分别对应的位于每行像素的下方,可以形成与一列像素单元分布相同的连接点。In this case, the pixel unit in the pixel wafer can be connected to the IO interface in the logic wafer through the rewiring layer 214. Specifically, the rewiring layer 214 is provided with a plurality of first electrical connection points electrically connected to each row of pixel units in the pixel array, and the position distribution of the plurality of first electrical connection points is consistent with the position distribution of a column of pixel units in the pixel array , And/or, the rewiring layer 214 is provided with a plurality of second electrical connection points electrically connected to each column of pixel units in the pixel array, and the position distribution of the multiple second electrical connection points is consistent with the position of a row of pixel units in the pixel array The distribution is consistent. For example, each row of pixel units are connected to the rewiring layer 214, and the positions of the first electrical connection points of each row of pixel units connected to the rewiring layer 214 correspond to the positions below each row of pixels, and a column of pixel units can be formed. Distribute the same connection points.
通过本申请实施例的技术方案,可以将像素晶片中除像素单元以外的其它所有电路均设置在逻辑晶片中,通过逻辑晶片上方的再布线层进行IO端口再分布,并分别连接至每一行像素单元或者每一列像素单元,从而进一步 增大像素晶片上像素阵列的面积,提高图像传感器的感光率。Through the technical solutions of the embodiments of the present application, all the circuits in the pixel chip except the pixel unit can be arranged in the logic chip, and the IO ports are redistributed through the rewiring layer above the logic chip and connected to each row of pixels. Unit or each column of pixel units, thereby further increasing the area of the pixel array on the pixel chip and improving the sensitivity of the image sensor.
当然,该像素晶片也可以包括除像素阵列以外的其它相关控制电路,例如上述行控制电路和列控制电路,此时,可以减少像素晶片与逻辑晶片之间互联的端口数,提高芯片的稳定性。Of course, the pixel chip may also include other related control circuits besides the pixel array, such as the row control circuit and the column control circuit mentioned above. In this case, the number of interconnected ports between the pixel chip and the logic chip can be reduced, and the stability of the chip can be improved. .
图8示出了本申请实施例的一种堆叠式图像传感芯片20的截面示意图。FIG. 8 shows a schematic cross-sectional view of a stacked image sensor chip 20 according to an embodiment of the present application.
可选地,如图8所示,第二晶片220为像素晶片,该第二晶片220可以为背照式(Back-Illuminated,BI)图像传感器结构或者传统的正照式图像传感器结构。Optionally, as shown in FIG. 8, the second wafer 220 is a pixel wafer, and the second wafer 220 may be a back-illuminated (BI) image sensor structure or a traditional front-illuminated image sensor structure.
具体地,该第二晶片220除了包括上述第二金属线路层222以及其表面的顶层金属线路层223外,该第二晶片220中还包括像素阵列电路,该像素阵列电路包括多个像素单元221,用于接收光信号并进行光学成像。若第二晶片220为背照式图像传感器结构,该第二晶片220中的多个像素单元221接近于第二晶片220的上表面,能够接收足够的光信号量,产生的电信号较大。且第二晶片220中的第二金属线路层222位于多个像素单元221的下方。若第二晶片220为传统的正照式图像传感器结构,该多个像素单元221位于第二晶片220中的第二金属线路层222的下方,与第二晶片220的上表面距离较远,接收的光信号量较弱,其产生的电信号质量较差。Specifically, in addition to the above-mentioned second metal circuit layer 222 and the top metal circuit layer 223 on the surface of the second chip 220, the second chip 220 also includes a pixel array circuit that includes a plurality of pixel units 221 , Used to receive optical signals and perform optical imaging. If the second chip 220 is a back-illuminated image sensor structure, the plurality of pixel units 221 in the second chip 220 are close to the upper surface of the second chip 220 and can receive a sufficient amount of light signals and generate relatively large electrical signals. In addition, the second metal circuit layer 222 in the second chip 220 is located under the plurality of pixel units 221. If the second chip 220 has a traditional front-illuminated image sensor structure, the plurality of pixel units 221 are located under the second metal circuit layer 222 in the second chip 220, and are far away from the upper surface of the second chip 220, and the received The amount of light signal is weak, and the quality of the electrical signal produced by it is poor.
进一步地,如图8所示,第二晶片220的上表面还设置有滤光层227以及微透镜阵列226,具体地,该滤光层227与微透镜阵列226设置于多个像素单元221的正上方。可选地,微透镜阵列226中的每个微透镜对应于多个像素单元221中的一个像素单元。像素单元221用于接收经过微透镜会聚、并经过滤光层227处理后的光信号,并基于该光信号进行光学成像。Further, as shown in FIG. 8, the upper surface of the second wafer 220 is further provided with a filter layer 227 and a microlens array 226. Specifically, the filter layer 227 and the microlens array 226 are provided on the plurality of pixel units 221. Directly above. Optionally, each microlens in the microlens array 226 corresponds to one pixel unit of the plurality of pixel units 221. The pixel unit 221 is used to receive the optical signal condensed by the microlens and processed by the filter layer 227, and perform optical imaging based on the optical signal.
可选地,该微透镜阵列226中的每个微透镜为圆形透镜或者为方形透镜,其上表面为球面或者非球面,每个微透镜的焦点可以位于其对应的像素单元上。Optionally, each microlens in the microlens array 226 is a round lens or a square lens, and its upper surface is a spherical or aspherical surface, and the focal point of each microlens can be located on its corresponding pixel unit.
可选地,该滤光层227可以为彩色滤光单元,例如,该滤光层227中包括三种颜色的滤光单元,分别用于透过红色光信号、蓝色光信号以及绿色光信号,其中一种颜色的滤光单元对应于至少一个微透镜以及至少一个像素单元。可选地,该滤光层227还可以为用于滤过可见光,阻挡非可见光的滤光片,可以减少环境中红外波段对于光学成像的干扰。应理解,在本申请实施例中,滤光层的滤光波段可以为任意光波段,该波段范围可以根据实际的成 像需求设定,本申请实施例对此不做限定。Optionally, the filter layer 227 may be a color filter unit. For example, the filter layer 227 includes three color filter units for transmitting red light signals, blue light signals, and green light signals, respectively. One color filter unit corresponds to at least one micro lens and at least one pixel unit. Optionally, the filter layer 227 can also be a filter used to filter visible light and block non-visible light, which can reduce the interference of the infrared band in the environment on optical imaging. It should be understood that, in the embodiment of the present application, the filter wavelength band of the filter layer can be any light waveband, and the wavelength range can be set according to actual imaging requirements, which is not limited in the embodiment of the present application.
图9示出了本申请实施例的另一种堆叠式芯片20的分体结构示意图。FIG. 9 shows a schematic diagram of the split structure of another stacked chip 20 according to an embodiment of the present application.
如图9所示,该堆叠式芯片20还包括:As shown in FIG. 9, the stacked chip 20 further includes:
第三晶片230,该第三晶片230设置在上述载体晶片200的第二凹槽202中。The third wafer 230 is disposed in the second groove 202 of the carrier wafer 200 described above.
可选地,上述第二晶片220堆叠在该第三晶片230上方,该第二晶片220的面积大于该第三晶片230。Optionally, the above-mentioned second wafer 220 is stacked above the third wafer 230, and the area of the second wafer 220 is larger than that of the third wafer 230.
可选地,第三晶片230、第一晶片210与第二晶片220之间通过晶圆级键合实现堆叠。Optionally, the third chip 230, the first chip 210, and the second chip 220 are stacked by wafer-level bonding.
在一种可能的实施方式中,该第二晶片220的表面面积大于第一晶片210的表面面积与第三晶片230的表面面积之和。例如,第一晶片210以及第三晶片230完全位于第二晶片220在垂直方向的投影中。In a possible implementation, the surface area of the second wafer 220 is greater than the sum of the surface area of the first wafer 210 and the surface area of the third wafer 230. For example, the first wafer 210 and the third wafer 230 are completely located in the projection of the second wafer 220 in the vertical direction.
可选地,该载体晶片200中的第二凹槽202的形状大小可以与第三晶片230的形状大小相同或者略大于该第三晶片230。例如,该第三晶片230为薄片结构,该第二凹槽202的深度与该第三晶片230的厚度相同或者略大于该第三晶片230的厚度,该第二凹槽202的长度和宽度也分别略大于该第三晶片230的长度和宽度,使得第二凹槽202可以完全将该第三晶片230容纳其中。可选地,该第二凹槽202的长宽深分别比第三晶片230的长宽高大25μm,或者其它任意数值,本申请实施例对此不做限定。Optionally, the shape and size of the second groove 202 in the carrier wafer 200 may be the same as the shape and size of the third wafer 230 or slightly larger than the third wafer 230. For example, the third wafer 230 has a sheet structure, the depth of the second groove 202 is the same as the thickness of the third wafer 230 or slightly larger than the thickness of the third wafer 230, and the length and width of the second groove 202 are also They are slightly larger than the length and width of the third wafer 230 respectively, so that the second groove 202 can completely accommodate the third wafer 230 therein. Optionally, the length, width, and depth of the second groove 202 are larger than the length, width, and height of the third wafer 230 by 25 μm, or any other value, which is not limited in the embodiment of the present application.
可选地,在本申请实施例中,该第三晶片230可以用于实现与上述第一晶片210和第二晶片220不同的电路功能,例如,若该堆叠式芯片20为一种图像传感芯片,第一晶片210可以为上述图1中的像素晶片101,第二晶片220和第三晶片230分别可以为上述图1中的逻辑晶片102与内存晶片103。Optionally, in the embodiment of the present application, the third chip 230 may be used to implement circuit functions different from those of the first chip 210 and the second chip 220. For example, if the stacked chip 20 is an image sensor For the chip, the first chip 210 may be the pixel chip 101 in FIG. 1 described above, and the second chip 220 and the third chip 230 may be the logic chip 102 and the memory chip 103 in FIG. 1 described above, respectively.
应理解,该堆叠式芯片20还可以为多种其它不同领域中的芯片,例如存储芯片、处理芯片等等,其中的第一晶片、第二晶片和第三晶片为实现对应电路功能的功能晶片,且第一晶片、第二晶片以及第三晶片的电路功能不同。It should be understood that the stacked chip 20 may also be a chip in a variety of other different fields, such as a memory chip, a processing chip, etc., wherein the first chip, the second chip, and the third chip are functional chips that implement corresponding circuit functions. And the circuit functions of the first chip, the second chip, and the third chip are different.
在本申请实施例中,通过将第一晶片210以及第三晶片230均设置在载体晶片200的凹槽中,在实现将大面积的第二晶片220堆叠在第一晶片210以及第三晶片230的上方的同时,能够在晶圆上生长尽可能多的第一晶片 210以及第三晶片230,减少制造成本。此外,还能够充分利用堆叠芯片中的空间,采用一次晶圆键合工艺将第二晶片220键合在第一晶片210和第三晶片230的上方,而不需要采用两次晶圆键合工艺,将三个晶片依次键合,从而进一步降低了工艺成本。第三,还可以在进行键合前,对单颗的第一晶片210以及单颗的第三晶片230进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高整体芯片的良率,进一步降低整体的制造成本。同样的,还可以在晶圆级键合前,对第二晶圆上的多个第二晶片220进行测试,筛选出性能良好的第二晶片,对性能较差的第二晶片对应的第一凹槽和第二凹槽的位置上,放置与第一晶片和第三晶片相同大小的替代物,而不放入第一晶片和第三晶片,也能够提高整体芯片的良率,降低制造成本。In the embodiment of the present application, by arranging the first wafer 210 and the third wafer 230 in the groove of the carrier wafer 200, the large area of the second wafer 220 is stacked on the first wafer 210 and the third wafer 230. At the same time, as many first wafers 210 and third wafers 230 as possible can be grown on the wafer, reducing manufacturing costs. In addition, the space in the stacked chips can be fully utilized, and the second wafer 220 can be bonded on top of the first wafer 210 and the third wafer 230 by one wafer bonding process, instead of using two wafer bonding processes , The three wafers are bonded sequentially, thereby further reducing the process cost. Third, it is also possible to test a single first wafer 210 and a single third wafer 230 before bonding to screen out wafers with good performance, remove wafers with poor performance, and improve the overall chip yield. , To further reduce the overall manufacturing cost. Similarly, it is also possible to test multiple second wafers 220 on the second wafer before wafer-level bonding, to screen out second wafers with good performance, and to select the first wafer corresponding to the second wafer with poor performance. At the positions of the grooves and the second grooves, placing substitutes of the same size as the first and third wafers instead of placing the first and third wafers can also increase the overall chip yield and reduce manufacturing costs .
图10示出了本申请实施例的另一种堆叠式图像传感芯片20的截面示意图。FIG. 10 shows a schematic cross-sectional view of another stacked image sensor chip 20 according to an embodiment of the present application.
可选地,如图10所示,第二晶片220为像素晶片,可选地,该第二晶片220可以为背照式图像传感器结构或者传统的正照式图像传感器结构。该第二晶片220的相关技术方案可以参考图7或图8中的相关描述,此处不再赘述。Optionally, as shown in FIG. 10, the second wafer 220 is a pixel wafer. Optionally, the second wafer 220 may be a back-illuminated image sensor structure or a traditional front-illuminated image sensor structure. For the related technical solution of the second wafer 220, reference may be made to the related description in FIG. 7 or FIG. 8, which will not be repeated here.
在本申请实施例中,第一晶片210与第三晶片230分别可以为逻辑晶片和内存晶片。其中,第一晶片210的相关技术方案也可以参考图7或图8中的相关描述,此处不再赘述。In the embodiment of the present application, the first chip 210 and the third chip 230 may be a logic chip and a memory chip, respectively. For the related technical solutions of the first wafer 210, reference may also be made to the related description in FIG. 7 or FIG. 8, which will not be repeated here.
如图10所示,在第三晶片230中,该第三晶片230通过胶层231在第二凹槽202的底部,以将第三晶片230稳定固定于第二凹槽中202。该胶层包括但不限于晶片粘结膜。同样的,当该胶层231的厚度为d’1,第三晶片230的高度为d’2,第三晶片230和胶层231的厚度之和d’1+d’2小于等于第二凹槽202的深度d’0,可选地,该d’1+d’2与d’0之差可以在2~5μm之间,也可以为其它数值,本申请实施例对此不做限定。As shown in FIG. 10, in the third wafer 230, the third wafer 230 is at the bottom of the second groove 202 through the adhesive layer 231 to stably fix the third wafer 230 in the second groove 202. The adhesive layer includes, but is not limited to, a wafer bonding film. Similarly, when the thickness of the adhesive layer 231 is d'1 and the height of the third wafer 230 is d'2, the sum d'1+d'2 of the thickness of the third wafer 230 and the adhesive layer 231 is less than or equal to the second concave The depth d'0 of the groove 202, optionally, the difference between d'1+d'2 and d'0 can be between 2-5 μm, or other values, which is not limited in the embodiment of the present application.
可选地,该第三晶片230与第二凹槽202之间的空隙同样可以填充有填充层212,以将第三晶片230进一步稳定的固定在第二凹槽202中。Optionally, the gap between the third wafer 230 and the second groove 202 can also be filled with a filling layer 212 to further stably fix the third wafer 230 in the second groove 202.
可选地,如图10所示,第三晶片230中包括第三金属线路层233,该第三金属线路层233位于第三晶片230的表面,用于与其他电学元器件,例如第二晶片220进行电连接。上述填充层212还可以覆盖于第三晶片230上表面中除第三金属线路层233外的部分区域。Optionally, as shown in FIG. 10, the third wafer 230 includes a third metal circuit layer 233, and the third metal circuit layer 233 is located on the surface of the third wafer 230 and is used to interact with other electrical components, such as the second wafer. 220 makes electrical connections. The above-mentioned filling layer 212 may also cover a part of the upper surface of the third wafer 230 except for the third metal circuit layer 233.
如图10所示,该第三金属线路层233以及填充层212的上方同样形成至少一层的再布线层214,用于连接第三晶片230的第三金属线路层233与其他电学元器件,具体地,该再布线层214可以横向连接第一晶片210表面的第一金属线路层213以及第三晶片表面的第三金属线路层233。且通过该至少一层的再布线层214,可以对第三晶片中第三金属线路层233的接口位置进行重新布局,能够提高晶片之间互联的可靠性。As shown in FIG. 10, at least one rewiring layer 214 is also formed on the third metal circuit layer 233 and the filling layer 212, which is used to connect the third metal circuit layer 233 of the third wafer 230 and other electrical components. Specifically, the rewiring layer 214 may be laterally connected to the first metal circuit layer 213 on the surface of the first wafer 210 and the third metal circuit layer 233 on the surface of the third wafer. And through the at least one rewiring layer 214, the interface position of the third metal circuit layer 233 in the third chip can be re-layout, which can improve the reliability of the interconnection between the chips.
继续参见图10,绝缘介质层215完全覆盖第一晶片210以及第三晶片230上方的再布线层214以及填充层212。且绝缘介质层215的上表面与第二晶片220的下表面均为平坦表面,两者可以通过键合工艺键合在一起。Continuing to refer to FIG. 10, the insulating dielectric layer 215 completely covers the rewiring layer 214 and the filling layer 212 above the first wafer 210 and the third wafer 230. In addition, the upper surface of the insulating dielectric layer 215 and the lower surface of the second wafer 220 are both flat surfaces, and the two can be bonded together by a bonding process.
可选地,该第三晶片230同样通过硅通孔互连结构实现与第二晶片220之间的电连接。具体地,硅通孔互连结构中的多个第一硅通孔互连结构2241连接顶层金属线路层223以及再布线层214,其中,一个第一硅通孔互连结构2241连接至第一晶片210上方的再布线层214,通过该再布线层214连接至第一晶片210表面的第一金属线路层213。另一个第一硅通孔互连结构2241连接至第三晶片230上方的再布线层214,通过该再布线层214连接至第三晶片230表面的第三金属线路层233。此外,硅通孔互连结构中的多个第二硅通孔互连结构2242连接顶层金属线路层223以及第二晶片220中的第二金属线路层222。因此,通过该硅通孔互连结构将第二晶片220中的第二金属线路层222连接再布线层214,从而实现第三晶片230与第二晶片220的电连接以及第一晶片210与第二晶片220的电连接。可选地,该顶层金属线路层223还用于连接第二晶片220与其他电学器件。Optionally, the third wafer 230 is also electrically connected to the second wafer 220 through a through silicon via interconnection structure. Specifically, the multiple first TSV interconnect structures 2241 in the TSV interconnect structure are connected to the top metal line layer 223 and the rewiring layer 214. Among them, one first TSV interconnect structure 2241 is connected to the first TSV interconnect structure. The rewiring layer 214 above the wafer 210 is connected to the first metal circuit layer 213 on the surface of the first wafer 210 through the rewiring layer 214. The other first TSV interconnect structure 2241 is connected to the rewiring layer 214 above the third wafer 230, and is connected to the third metal wiring layer 233 on the surface of the third wafer 230 through the rewiring layer 214. In addition, the multiple second TSV interconnect structures 2242 in the TSV interconnect structure are connected to the top metal circuit layer 223 and the second metal circuit layer 222 in the second wafer 220. Therefore, the second metal circuit layer 222 in the second wafer 220 is connected to the rewiring layer 214 through the through silicon via interconnection structure, thereby achieving electrical connection between the third wafer 230 and the second wafer 220 and the first wafer 210 and the second wafer 210 The electrical connection of the two chips 220. Optionally, the top metal circuit layer 223 is also used to connect the second chip 220 and other electrical devices.
上文结合图6至图10,详细描述了本申请的堆叠式芯片的装置实施例,下文结合图11至图21,详细描述本申请的堆叠式芯片的制造方法的实施例,应理解,装置实施例与方法实施例相互对应,类似的描述可以参照装置实施例。6 to 10, the device embodiment of the stacked chip of the present application is described in detail above, and the following describes the embodiment of the method of manufacturing the stacked chip of the present application in detail with reference to Figs. 11 to 21. It should be understood that the device The embodiment and the method embodiment correspond to each other, and the similar description can refer to the device embodiment.
图11为一种堆叠式芯片的制造方法的示意性流程框图。Fig. 11 is a schematic flow chart of a method for manufacturing a stacked chip.
如图11所示,该堆叠式芯片的制造方法200可以包括以下步骤。As shown in FIG. 11, the manufacturing method 200 of the stacked chip may include the following steps.
S210:将多个第一晶片固定在载体晶圆的多个第一凹槽中。S210: Fix a plurality of first wafers in a plurality of first grooves of the carrier wafer.
可选地,该多个第一晶片中的每个晶片可以与上述装置实施例中的第一晶片210相同。载体晶圆可以与上述装置实施例中的载体晶圆21相同。多个第一凹槽可以与上述装置实施例中的第一凹槽201相同。Optionally, each of the plurality of first wafers may be the same as the first wafer 210 in the foregoing device embodiment. The carrier wafer may be the same as the carrier wafer 21 in the above device embodiment. The plurality of first grooves may be the same as the first grooves 201 in the above-mentioned device embodiment.
如图12所示,载体晶圆21上设置多个第一凹槽201。该多个第一凹槽的尺寸完全相同,且该多个第一凹槽201呈阵列分布在载体晶圆21上。As shown in FIG. 12, a plurality of first grooves 201 are provided on the carrier wafer 21. The sizes of the plurality of first grooves are completely the same, and the plurality of first grooves 201 are distributed on the carrier wafer 21 in an array.
在载体晶圆上制备多个第一凹槽后,将分立的多个第一晶片固定在第一凹槽中。After preparing a plurality of first grooves on the carrier wafer, a plurality of discrete first wafers are fixed in the first grooves.
S220:在固定有多个第一晶片的载体晶圆上制备多个第一晶片的再布线层。S220: preparing the rewiring layers of the plurality of first chips on the carrier wafer on which the plurality of first chips are fixed.
可选地,该多个第一晶片中每个第一晶片的再布线层可以与上述装置实施例中第一晶片的再布线层214相同。具体地,在本申请实施例中,多个第一晶片的再布线层用于对多个第一晶片中的IO端口进行再分布,便于与其它电子元器件进行电连接,提高芯片的整体性能。Optionally, the rewiring layer of each first wafer in the plurality of first wafers may be the same as the rewiring layer 214 of the first wafer in the foregoing device embodiment. Specifically, in the embodiment of the present application, the rewiring layers of the multiple first wafers are used to redistribute the IO ports in the multiple first wafers, so as to facilitate electrical connection with other electronic components and improve the overall performance of the chip. .
S230:将第二晶圆堆叠在制作有再布线层的载体晶圆的上方。S230: Stack the second wafer on top of the carrier wafer on which the rewiring layer is formed.
可选地,可以采用晶圆到晶圆的键合(Wafer to Wafer Bonding)的晶圆级键合工艺将第二晶圆键合在载体晶圆上。其中,第二晶圆的表面面积与载体晶圆的表面面积相等。采用该键合方式易于工艺实现,芯片的制备速度快,能够降低工艺成本。Optionally, a wafer-level bonding process of wafer-to-wafer bonding may be used to bond the second wafer to the carrier wafer. Wherein, the surface area of the second wafer is equal to the surface area of the carrier wafer. Adopting this bonding method is easy to realize the process, the preparation speed of the chip is fast, and the process cost can be reduced.
S240:通过再布线层将堆叠后的第二晶圆中的多个第二晶片与多个第一晶片进行电连接。S240: Electrically connect the plurality of second chips in the stacked second wafer with the plurality of first chips through the rewiring layer.
具体地,在本申请实施例中,第二晶圆上已经制备有多个第二晶片,将该第二晶圆键合在载体晶圆上方后,其中的多个第二晶片与载体晶片中的多个第一晶片一一对应,换言之,多个第二晶片的数量与多个第一晶片的数量相同,每个第一晶片的上方堆叠有一个第二晶片,该多个第二晶片中的每个第二晶片的面积大于其对应的第一晶片的面积。可选地,该多个第二晶片中的每个第二晶片可以与上述装置实施例中的第二晶片220相同。Specifically, in the embodiment of the present application, a plurality of second wafers have been prepared on the second wafer, and after the second wafer is bonded on the carrier wafer, the plurality of second wafers and the carrier wafer In other words, the number of the second wafers is the same as the number of the first wafers, and a second wafer is stacked above each first wafer. Among the second wafers The area of each second wafer is greater than the area of its corresponding first wafer. Optionally, each second wafer of the plurality of second wafers may be the same as the second wafer 220 in the foregoing device embodiment.
具体地,该多个第一晶片与再布线层电连接,第二晶圆中的多个第二晶片与再布线层进行电连接,从而实现多个第二晶片与多个第一晶片的电连接。Specifically, the plurality of first chips are electrically connected to the rewiring layer, and the plurality of second chips in the second wafer are electrically connected to the rewiring layer, so as to realize the electrical connection between the plurality of second chips and the plurality of first chips. connection.
S250:将电连接后的第二晶圆与第一晶圆的整体进行切割,以得到多个堆叠式芯片。S250: Cutting the electrically connected second wafer and the whole of the first wafer to obtain a plurality of stacked chips.
可选地,切割得到的堆叠式芯片可以为上述图6至图8中的装置实施例中的堆叠式芯片20。Optionally, the stacked chip obtained by cutting may be the stacked chip 20 in the device embodiment shown in FIGS. 6 to 8.
在本申请实施例中,通过载体晶片中第一凹槽为多个第一晶片提供支撑 和稳定,采用晶圆级键合工艺将包括多个第二晶片的第二晶圆直接键合在载体晶片上,从而实现将大面积的第二晶片堆叠在小面积的第一晶片上,在实现堆叠芯片结构的同时,还能够在晶圆上尽可能多的制造小面积的第一晶片,降低单颗第一晶片的成本,从而降低整体的制造成本。此外,可以在进行键合前,对单颗的第一晶片进行测试以筛选出性能良好的晶片,去除性能较差的晶片,提高整体芯片的良率,进一步降低整体的制造成本。第三,还可以在晶圆级键合前,对第二晶圆上的多个第二晶片进行测试,筛选出性能良好的第二晶片,在性能较差的第二晶片对应的第一凹槽的位置上,放置与第一晶片相同大小的替代物,而不放入第一晶片,也能够提高整体芯片的良率,降低制造成本。In the embodiment of the present application, the first groove in the carrier wafer is used to provide support and stability for the plurality of first wafers, and the second wafer including the plurality of second wafers is directly bonded to the carrier using a wafer-level bonding process On the wafer, it is possible to stack a large-area second wafer on a small-area first wafer. While realizing the stacked chip structure, it is also possible to manufacture as many small-area first wafers on the wafer as possible to reduce the cost. The cost of the first chip, thereby reducing the overall manufacturing cost. In addition, before bonding, a single first wafer can be tested to screen out wafers with good performance, and wafers with poor performance can be removed, so as to improve the overall chip yield and further reduce the overall manufacturing cost. Third, it is also possible to test multiple second wafers on the second wafer before wafer-level bonding, and screen out second wafers with good performance. At the position of the groove, placing a substitute of the same size as the first wafer without placing the first wafer can also increase the overall chip yield and reduce manufacturing costs.
图13示出了另一种堆叠式芯片的制造方法200的示意性流程框图。FIG. 13 shows a schematic flowchart of another method 200 for manufacturing a stacked chip.
如图13所示,上述步骤S210可以包括以下步骤。As shown in FIG. 13, the above step S210 may include the following steps.
S211:在第一晶圆上制备并切割得到多个第一晶片。S211: Prepare and cut multiple first wafers on the first wafer.
具体地,该多个第一晶片为第一晶圆上制备,并从第一晶圆上切割得到的多个晶片。进一步地,该多个第一晶片为经过测试后,满足性能要求的晶片。可选地,该第一晶圆上可以制备N个晶片,其中N为正整数,该多个芯片的数量为M,M为小于N的正整数。Specifically, the multiple first wafers are multiple wafers prepared on the first wafer and cut from the first wafer. Further, the plurality of first wafers are wafers that meet the performance requirements after being tested. Optionally, N chips can be prepared on the first wafer, where N is a positive integer, the number of the plurality of chips is M, and M is a positive integer less than N.
S212:在载体晶圆上制备多个第一凹槽,通过取放(Pick and Place)工艺将多个第一晶片放入多个第一凹槽中。S212: Prepare a plurality of first grooves on the carrier wafer, and place the plurality of first wafers into the plurality of first grooves through a pick and place (Pick and Place) process.
可选地,在本申请实施例中,可以通过多种工艺方法在该载体晶圆上制备得到多个第一凹槽,该工艺方法包括但不限于:干法刻蚀(Dry Etching)、激光法、机械法等等。本申请实施例对此不做具体限定。Optionally, in the embodiment of the present application, a plurality of first grooves can be prepared on the carrier wafer by a variety of process methods, including but not limited to: dry etching, laser Law, mechanical law, etc. The embodiment of the application does not specifically limit this.
具体地,图14示出了图12中沿A-A’方向的部分晶圆截面图。两个形状大小相同的第一凹槽201形成在载体晶圆21上。Specifically, FIG. 14 shows a partial cross-sectional view of the wafer along the A-A' direction in FIG. 12. Two first grooves 201 with the same shape and size are formed on the carrier wafer 21.
在载体晶圆上制备得到多个第一凹槽后,可以采用标准的取放工艺将多个第一晶片放置在多个第一凹槽中。其中,第一晶片的下表面设置有第一胶层,该第一胶层包括但不限于DAF。After the plurality of first grooves are prepared on the carrier wafer, the plurality of first wafers can be placed in the plurality of first grooves by using a standard pick-and-place process. Wherein, the lower surface of the first wafer is provided with a first adhesive layer, and the first adhesive layer includes but is not limited to DAF.
具体地,图15示出了该工艺步骤后的截面图。如图15所示,两个第一晶片210通过第一胶层211分别固定在第一凹槽201的底部。该第一晶片210的上表面形成有第一金属线路层213,其可以是第一晶片210的IO接口。Specifically, FIG. 15 shows a cross-sectional view after this process step. As shown in FIG. 15, the two first wafers 210 are respectively fixed on the bottom of the first groove 201 through the first adhesive layer 211. A first metal circuit layer 213 is formed on the upper surface of the first chip 210, which may be an IO interface of the first chip 210.
S213:将填充材料填充在多个第一晶片与多个第一凹槽之间的空隙以及 载体晶片的上表面,在真空环境下对填充材料进行加热以形成稳定的填充层。S213: Fill the gaps between the plurality of first wafers and the plurality of first recesses and the upper surface of the carrier wafer with a filling material, and heat the filling material in a vacuum environment to form a stable filling layer.
具体地,该填充材料可以为干膜或者是其它流动性较好的高分子材料。特别地,该填充材料可以为可以光刻的干膜材料。该填充材料通过自动贴膜机贴到载体晶圆的表面,由于其流动性可以自动填充在多个第一晶片以及多个第一凹槽的空隙中。然后再真空以及加热的条件下固化形成稳定的填充层,其可以无空洞的填充在多个第一晶片以及多个第一凹槽的空隙中,以确保多个第一晶片在多个第一凹槽内的结构稳定性。Specifically, the filling material may be a dry film or other polymer materials with good fluidity. In particular, the filling material may be a dry film material that can be photoetched. The filling material is attached to the surface of the carrier wafer by an automatic film attaching machine, and can be automatically filled in the gaps between the plurality of first wafers and the plurality of first grooves due to its fluidity. Then, it is cured under vacuum and heating conditions to form a stable filling layer, which can be filled in the voids of the plurality of first wafers and the plurality of first grooves without cavities, so as to ensure that the plurality of first wafers are in the first Structural stability in the groove.
具体地,图16示出了该工艺步骤后的截面图。如图16所示,填充层212填充在两个第一晶片210与两个第一凹槽201之间的空隙以及载体晶片200的上表面。Specifically, FIG. 16 shows a cross-sectional view after this process step. As shown in FIG. 16, the filling layer 212 fills the gap between the two first wafers 210 and the two first grooves 201 and the upper surface of the carrier wafer 200.
可选地,上述步骤S220可以包括:Optionally, the foregoing step S220 may include:
S221:对填充层进行开窗处理,去除多个第一晶片上表面中多个第一金属线路层上方的填充层,在填充层上方制备再布线层。S221: Perform a windowing process on the filling layer to remove the filling layer above the plurality of first metal circuit layers on the upper surface of the plurality of first wafers, and prepare a rewiring layer above the filling layer.
具体地,可以采用半导体工艺,例如曝光、显影、刻蚀等工艺在填充层上进行开窗,以露出多个第一晶片上表面中多个第一金属线路层。Specifically, a semiconductor process, such as exposure, development, and etching, can be used to open a window on the filling layer to expose the multiple first metal circuit layers on the upper surfaces of the multiple first wafers.
然后,采用种子层沉积、光刻、电镀等工艺在多个第一金属线路层以及填充层的表面制备再布线层。其中,该再布线层与多个第一金属层接触以形成电连接关系。Then, a rewiring layer is prepared on the surface of the plurality of first metal circuit layers and the filling layer by using processes such as seed layer deposition, photolithography, and electroplating. Wherein, the rewiring layer is in contact with a plurality of first metal layers to form an electrical connection relationship.
具体地,图17示出了该工艺步骤后的截面图。如图17所示,再布线层214为金属线路的图形层,包括多条电连接线。具体地,该再布线层214形成在填充层212以及多个第一金属线路层213上方,与多个第一金属线路层213接触形成电连接关系。Specifically, FIG. 17 shows a cross-sectional view after this process step. As shown in FIG. 17, the rewiring layer 214 is a pattern layer of metal lines, and includes a plurality of electrical connection lines. Specifically, the rewiring layer 214 is formed on the filling layer 212 and the plurality of first metal circuit layers 213, and is in contact with the plurality of first metal circuit layers 213 to form an electrical connection relationship.
可选地,该制造方法为一种堆叠式图像传感器的制造方法,则该再布线层214中设置有与图像传感器的像素阵列中每行像素单元和/或每列像素单元对应的电连接线,该电连接线的连接位置的分布与像素阵列中一列像素单元和/或一行像素单元的分布一致。例如,每行像素单元的均连接至再布线层214,该每行像素单元连接至再布线层214的连接位置分别对应的位于每行像素的下方,可以形成与一列像素单元分布相同的连接点。Optionally, the manufacturing method is a method of manufacturing a stacked image sensor, and the rewiring layer 214 is provided with electrical connection lines corresponding to each row of pixel units and/or each column of pixel units in the pixel array of the image sensor. The distribution of the connection positions of the electrical connection lines is consistent with the distribution of a column of pixel units and/or a row of pixel units in the pixel array. For example, the pixel units of each row are connected to the rewiring layer 214, and the connection positions of the pixel units of each row to the rewiring layer 214 are respectively located below each row of pixels, which can form the same connection points as a column of pixel units. .
可选地,上述步骤S230可以包括以下步骤。Optionally, the above step S230 may include the following steps.
S231:在再布线层以及填充层上方制备绝缘介质层,将绝缘介质层的上 表面与第二晶圆的下表面进行平坦化处理后,键合在一起。S231: Prepare an insulating dielectric layer above the rewiring layer and the filling layer, and after planarizing the upper surface of the insulating dielectric layer and the lower surface of the second wafer, bond them together.
具体地,采用半导体制备工艺在上述再布线层以及填充层上方制备绝缘介质层,覆盖再布线层以及填充层的全部区域。可选地,该半导体制备工艺包括但不限于:物理气相沉积(Chemical Vapor Deposition,CVD)、化学气相沉积(Physical Vapour Deposition,PVD)、原子层沉积(atomic layer deposition,ALD)等等,本申请实施例对此不做具体限定。可选地,该绝缘介质层可以为氧化硅等绝缘材料,本申请实施例对该绝缘介质层的具体材料也不做限定。Specifically, a semiconductor manufacturing process is used to prepare an insulating dielectric layer above the rewiring layer and the filling layer to cover the entire area of the rewiring layer and the filling layer. Optionally, the semiconductor manufacturing process includes but is not limited to: physical vapor deposition (Chemical Vapor Deposition, CVD), chemical vapor deposition (Physical Vapor Deposition, PVD), atomic layer deposition (atomic layer deposition, ALD), etc., this application The embodiment does not specifically limit this. Optionally, the insulating dielectric layer may be an insulating material such as silicon oxide, and the embodiment of the present application does not limit the specific material of the insulating dielectric layer.
由于再布线层为高低起伏不平的图形层,因此,在该再布线层上方制备绝缘介质层以形成一个平坦的界面,便于进行晶圆键合。具体地,制备完成绝缘介质层后,对该绝缘介质层的上表面进行平坦化处理。可选地,对该绝缘介质层的上表面进行抛光处理,该抛光处理包括但不限于:化学机械抛光(Chemical-Mechanical Planarization,CMP)工艺的处理。Since the rewiring layer is an uneven pattern layer, an insulating dielectric layer is prepared above the rewiring layer to form a flat interface, which is convenient for wafer bonding. Specifically, after the insulating dielectric layer is prepared, the upper surface of the insulating dielectric layer is planarized. Optionally, a polishing treatment is performed on the upper surface of the insulating dielectric layer, and the polishing treatment includes, but is not limited to, a chemical-mechanical polishing (Chemical-Mechanical Planarization, CMP) process.
可选地,在本申请实施例中,还对第二晶圆的下表面进行平坦化处理,以形成一个光滑的表面。经过平坦化处理后,该第二晶圆的下表面以及绝缘介质层的上表面的平坦度以及粗糙度均满足一定的阈值要求,才能够进行晶圆级的键合。Optionally, in the embodiment of the present application, the lower surface of the second wafer is also planarized to form a smooth surface. After the planarization process, the flatness and roughness of the lower surface of the second wafer and the upper surface of the insulating dielectric layer meet certain threshold requirements before wafer-level bonding can be performed.
具体地,将光滑的第二晶圆的下表面以及绝缘介质层的上表面贴合在一起,然后经过高温退火,使得第二晶圆和绝缘介质层的键合力增强,提高晶圆之间的键合力,该键合方法也称为热键合法(Fusion Bonding)。可选地,该第二晶圆与载体晶圆的键合还可以采用其他的晶圆级键合方法,例如超高真空键合(Ultra-high Vacuum Bonding)、表面活化键合(Surface Activated Bonding,SAB)、等离子体活化键合等方法,本申请实施例对此不做具体限定。Specifically, the lower surface of the smooth second wafer and the upper surface of the insulating dielectric layer are bonded together, and then subjected to high-temperature annealing, so that the bonding force between the second wafer and the insulating dielectric layer is enhanced, and the inter-wafer adhesion is improved. Bonding force. This bonding method is also called Fusion Bonding. Optionally, the bonding between the second wafer and the carrier wafer may also adopt other wafer-level bonding methods, such as ultra-high vacuum bonding and surface activated bonding. , SAB), plasma activated bonding and other methods, which are not specifically limited in the embodiments of the present application.
可选地,该第二晶圆上生长有多个第二晶片,该第二晶片可以为图像传感器中的像素晶片,包括多个像素单元组成的像素阵列。可选地,该像素晶片可以为背照式的图像传感器结构,也可以为传统的正照式图像传感器结构。若像素晶片为背照式的图像传感器结构,则像素晶片的衬底为像素晶片的上表面。换言之,在本申请实施例中,第二晶圆的上表面为衬底材料,例如硅衬底。Optionally, a plurality of second wafers are grown on the second wafer, and the second wafer may be a pixel wafer in an image sensor, and includes a pixel array composed of a plurality of pixel units. Optionally, the pixel wafer may be a back-illuminated image sensor structure, or may be a traditional front-illuminated image sensor structure. If the pixel wafer is a back-illuminated image sensor structure, the substrate of the pixel wafer is the upper surface of the pixel wafer. In other words, in the embodiment of the present application, the upper surface of the second wafer is a substrate material, such as a silicon substrate.
可选地,在上述步骤S231之后,该制造方法200还包括:Optionally, after the foregoing step S231, the manufacturing method 200 further includes:
S232:对第二晶圆的上表面进行减薄处理。S232: Perform a thinning process on the upper surface of the second wafer.
具体地,可以采用机械减薄、化学减薄、化学抛光等方法对第二晶圆的衬底材料进行减薄,本申请实施例对具体的减薄方法不做任何限定。在对第二晶圆进行减薄的过程中,载体晶圆可以起到支撑的作用。Specifically, methods such as mechanical thinning, chemical thinning, chemical polishing, etc. may be used to thin the substrate material of the second wafer, and the embodiment of the present application does not make any limitation on the specific thinning method. In the process of thinning the second wafer, the carrier wafer can play a supporting role.
可选地,若第二晶圆的第二晶片为像素晶片,经过减薄后的第二晶圆上表面接近第二晶片中的像素阵列,换言之,接近第二晶片中的多个光电二极管。在本申请实施例中,第二晶片的第二金属线路层位于像素阵列的下方,该第二金属线路层用于传输第二晶片的电信号。Optionally, if the second chip of the second wafer is a pixel chip, the upper surface of the thinned second wafer is close to the pixel array in the second chip, in other words, close to the plurality of photodiodes in the second chip. In the embodiment of the present application, the second metal circuit layer of the second chip is located below the pixel array, and the second metal circuit layer is used to transmit electrical signals of the second chip.
具体地,图18示出了该工艺步骤后的截面图。如图18所示,第二晶圆22键合在绝缘介质层215上方,其中第二晶圆22中的两个第二晶片220分别堆叠在两个第一芯片210的上方。经过减薄处理后,在第二晶片220中,多个像素单元221接近于第二晶圆22上表面。第二晶片220中的第二金属线路层222形成在多个像素单元221的下方。Specifically, FIG. 18 shows a cross-sectional view after this process step. As shown in FIG. 18, the second wafer 22 is bonded above the insulating dielectric layer 215, wherein the two second wafers 220 in the second wafer 22 are stacked above the two first chips 210 respectively. After the thinning process, in the second wafer 220, a plurality of pixel units 221 are close to the upper surface of the second wafer 22. The second metal circuit layer 222 in the second wafer 220 is formed under the plurality of pixel units 221.
可选地,上述步骤S240可以包括以下步骤。Optionally, the above step S240 may include the following steps.
S241:制备多个通孔互连结构,通过多个顶层金属线路层将多个第二金属线路层与再布线层电连接。S241: Prepare a plurality of via interconnection structures, and electrically connect the plurality of second metal circuit layers and the rewiring layer through a plurality of top metal circuit layers.
可选地,通过硅通孔互连技术制备多个硅通孔互连结构,该硅通孔互连技术包括硅通孔结构的制备以及通孔内导电材料的填充等等工艺。Optionally, multiple through silicon via interconnection structures are prepared by the through silicon via interconnection technology. The through silicon via interconnection technology includes processes such as preparation of the through silicon via structure and filling of conductive materials in the through holes.
可选地,硅通孔互连结构用于通过顶层金属线路层将第二晶片中的第二金属线路层与再布线层电连接;其中,顶层金属线路层设置于第二晶片的上表面,该硅通孔互连结构包括第一硅通孔互连结构和第二硅通孔互连结构,该第一硅通孔互连结构用于连接第二晶片中的第二金属电路层以及顶层金属线路层,该第二硅通孔互连结构用于连接再布线层以及顶层金属线路层。因此,通过硅通孔互连结构以及顶层金属线路层,将第二晶片中的第二金属电路层与再布线层电连接在一起,并且,再布线层与第一晶片中的第一金属电路层接触,从而实现第二晶片与第一晶片的电连接关系。Optionally, the through silicon via interconnection structure is used to electrically connect the second metal circuit layer in the second wafer with the rewiring layer through the top metal circuit layer; wherein the top metal circuit layer is disposed on the upper surface of the second wafer, The TSV interconnect structure includes a first TSV interconnect structure and a second TSV interconnect structure, and the first TSV interconnect structure is used to connect the second metal circuit layer and the top layer in the second wafer The metal circuit layer, the second through silicon via interconnection structure is used to connect the rewiring layer and the top metal circuit layer. Therefore, through the through silicon via interconnection structure and the top metal circuit layer, the second metal circuit layer in the second wafer and the rewiring layer are electrically connected together, and the rewiring layer is electrically connected to the first metal circuit in the first wafer. Layer contact, so as to realize the electrical connection relationship between the second wafer and the first wafer.
可选地,该硅通孔互连结构中填充的导电材料包括但不限于为铜、多晶硅等。Optionally, the conductive material filled in the TSV interconnect structure includes but is not limited to copper, polysilicon, and the like.
具体地,图19示出了该工艺步骤后的截面图。如图19所示,第二晶片220的表面形成有多个顶层金属线路层223,硅通孔互连结构包括第一硅通孔2241以及第二硅通孔2242,其中,第一硅通孔互连结构2241连接顶层金 属线路层223以及再布线层214,第二硅通孔结构2242连接顶层金属线路层223以及第二金属线路层222。Specifically, FIG. 19 shows a cross-sectional view after this process step. As shown in FIG. 19, a plurality of top metal wiring layers 223 are formed on the surface of the second wafer 220, and the TSV interconnection structure includes a first TSV 2241 and a second TSV 2242, wherein the first TSV The interconnect structure 2241 connects the top metal circuit layer 223 and the rewiring layer 214, and the second TSV structure 2242 connects the top metal circuit layer 223 and the second metal circuit layer 222.
可选地,若第二晶片为像素晶片,在上述步骤之后,还可以在像素阵列上方生长滤光层以及微透镜阵列,该滤光层以及微透镜阵列可以与图8中的滤光层227以及微透镜阵列226相同。Optionally, if the second wafer is a pixel wafer, after the above steps, a filter layer and a microlens array can be grown above the pixel array. The filter layer and the microlens array can be the same as the filter layer 227 in FIG. 8 And the micro lens array 226 is the same.
采用本申请实施例的方案,相比于晶片到晶圆的键合方式,在进行晶圆键合之后再在像素晶圆表面制备滤光层和微透镜阵列,在键合过程中不需要设置临时键合胶以及去除临时键合胶,不会带来额外工艺的成本,不会影响芯片中光学器件的性能。Using the solution of the embodiment of the present application, compared to the wafer-to-wafer bonding method, the filter layer and microlens array are prepared on the surface of the pixel wafer after the wafer bonding is performed, and there is no need to set up during the bonding process. Temporary bonding glue and removal of temporary bonding glue will not bring additional process costs and will not affect the performance of the optical devices in the chip.
可选地,在上述步骤S231之后,上述步骤S250可以包括:Optionally, after the foregoing step S231, the foregoing step S250 may include:
S251:沿载体晶圆的切割道进行晶片切割,得到多个堆叠式芯片。S251: Perform wafer dicing along the dicing path of the carrier wafer to obtain multiple stacked chips.
具体地,沿载体晶圆的切割道将载体晶圆上的多个晶片进行切割,得到多个堆叠式芯片,该载体晶圆的切割道同样也为第二晶圆的切割道,切割后的每个堆叠式芯片均包括载体晶片上的第一晶片以及堆叠在第一晶片上方的第二晶片。可选地,得到的堆叠式芯片可以为上述图6至图8中的装置实施例中的堆叠式芯片20。Specifically, the multiple wafers on the carrier wafer are cut along the dicing path of the carrier wafer to obtain multiple stacked chips. The dicing path of the carrier wafer is also the dicing path of the second wafer. Each stacked chip includes a first wafer on a carrier wafer and a second wafer stacked above the first wafer. Optionally, the obtained stacked chip may be the stacked chip 20 in the device embodiment in FIGS. 6 to 8 described above.
具体地,图20示出了该工艺步骤后的截面图。如图20所示,沿着图中所示的虚线切割之后,得到两个堆叠式芯片,该两个堆叠式芯片可以为图像传感芯片或者为其它类型的芯片。Specifically, FIG. 20 shows a cross-sectional view after this process step. As shown in FIG. 20, after cutting along the dotted line shown in the figure, two stacked chips are obtained. The two stacked chips may be image sensor chips or other types of chips.
采用本申请实施例方案,能够采用晶圆级的键合工艺制备得到多个堆叠式的芯片,在优化工艺的同时能够降低每个芯片的成本。此外,还能在键合之前,对第一晶片进行测试,以剔除性能较差的晶片,保留性能较优的晶片,提高堆叠芯片的整体良率。By adopting the solution of the embodiment of the present application, a plurality of stacked chips can be prepared by using a wafer-level bonding process, which can reduce the cost of each chip while optimizing the process. In addition, it is also possible to test the first wafer before bonding, so as to reject wafers with poor performance, retain wafers with better performance, and improve the overall yield of stacked chips.
图21为另一种堆叠式芯片的制造方法300的示意性流程框图。FIG. 21 is a schematic flowchart of another method 300 for manufacturing a stacked chip.
如图21所示,该堆叠式芯片的制造方法300可以包括以下步骤。As shown in FIG. 21, the manufacturing method 300 of the stacked chip may include the following steps.
S310:将多个第一晶片固定在载体晶圆的多个第一凹槽中。S310: Fix a plurality of first wafers in a plurality of first grooves of the carrier wafer.
S320:将多个第三晶片固定在载体晶圆的多个第二凹槽中。S320: Fix a plurality of third wafers in a plurality of second grooves of the carrier wafer.
可选地,在本申请实施例中,该多个第三晶片可以与上述装置实施例中的第三晶片230相同。可选地,该第一晶片与第三晶片分别可以为逻辑晶片和内存晶片。Optionally, in the embodiment of the present application, the plurality of third chips may be the same as the third chip 230 in the foregoing device embodiment. Optionally, the first chip and the third chip may be logic chips and memory chips, respectively.
具体地,步骤S310可以与上述步骤S210相同,并且可以包括上述步骤 S211至步骤S213。在步骤S320中,将多个第三晶片固定在载体晶圆的多个第二凹槽的过程可以参考上述步骤S210、步骤S211至步骤S213、以及上述装置实施例中第三晶片以及第三凹槽的相关描述。Specifically, step S310 may be the same as step S210 described above, and may include step S211 to step S213 described above. In step S320, the process of fixing the plurality of third chips in the plurality of second grooves of the carrier wafer can refer to the above step S210, step S211 to step S213, and the third chip and the third recess in the above device embodiment. Description of the slot.
可选地,可以同时在载体晶圆上制备多个第一凹槽和多个第二凹槽,然后采用标准的取放工艺将多个第一晶片和多个第三晶片分别放置在对应的凹槽中。可选地,该多个第三晶片的下表面同样设置有DAF层,通过该DAF将多个第三晶片固定在多个第二凹槽的底部。Optionally, a plurality of first grooves and a plurality of second grooves can be prepared on the carrier wafer at the same time, and then the plurality of first wafers and the plurality of third wafers are respectively placed on the corresponding In the groove. Optionally, the lower surface of the plurality of third wafers is also provided with a DAF layer, and the plurality of third wafers are fixed on the bottom of the plurality of second grooves through the DAF.
可选地,通过在多个第一晶片以及多个第三晶片的表面涂覆填充材料,该填充材料填充在多个第一晶片与多个第一凹槽的空隙之间,并且,也同时填充在多个第三晶片与多个第二凹槽的空隙之间。对填充材料进行加热固化形成填充层后,多个第三晶片和多个第一晶片均稳定的固定在凹槽中。Optionally, by coating the surfaces of the plurality of first wafers and the plurality of third wafers with a filler material, the filler material fills the gaps between the plurality of first wafers and the plurality of first grooves, and at the same time Fill the gaps between the plurality of third wafers and the plurality of second grooves. After heating and curing the filling material to form a filling layer, the plurality of third wafers and the plurality of first wafers are all stably fixed in the groove.
S330:在载体晶圆上制备多个第一晶片和多个第三晶片的再布线层。S330: preparing rewiring layers of a plurality of first chips and a plurality of third chips on the carrier wafer.
具体地,该再布线层形成多个第一晶片和多个第三晶片上方,与多个第一晶片和多个第三晶片实现电连接。Specifically, the rewiring layer is formed above the plurality of first wafers and the plurality of third wafers, and is electrically connected to the plurality of first wafers and the plurality of third wafers.
具体地,对上述填充层进行开窗处理,去除多个第一晶片上表面中多个第一金属线路层上方以及多个第三晶片上表面中多个第三金属线路层上方的填充层,在填充层上方制备再布线层。Specifically, the above-mentioned filling layer is windowed to remove the filling layer above the plurality of first metal circuit layers on the upper surfaces of the plurality of first wafers and the plurality of third metal circuit layers on the upper surfaces of the plurality of third wafers, A rewiring layer is prepared above the filling layer.
具体地,可以采用半导体工艺,例如曝光、显影、刻蚀等工艺在填充层上进行开窗,以露出多个第一晶片上表面中多个第一金属线路层以及多个第三晶片上表面中多个第三金属线路层。Specifically, semiconductor processes, such as exposure, development, and etching, can be used to open windows on the filling layer to expose the upper surfaces of the first metal circuit layers and the upper surfaces of the third wafers. In multiple third metal circuit layers.
然后,采用种子层沉积、光刻、电镀等工艺在多个第一金属线路层以及填充层的表面制备再布线层。其中,该再布线层与多个第一金属线路层以及多个第三金属线路层接触以形成电连接关系。Then, a rewiring layer is prepared on the surface of the plurality of first metal circuit layers and the filling layer by using processes such as seed layer deposition, photolithography, and electroplating. Wherein, the rewiring layer is in contact with a plurality of first metal circuit layers and a plurality of third metal circuit layers to form an electrical connection relationship.
此外,该再布线层可以横向连接第一晶片表面的第一金属线路层以及第三晶片表面的第三金属线路层。且通过该再布线层,可以对第三晶片中第三金属线路层的IO接口位置进行重新布局,能够提高晶片之间互联的可靠性。In addition, the rewiring layer may be laterally connected to the first metal circuit layer on the surface of the first chip and the third metal circuit layer on the surface of the third chip. And through the rewiring layer, the position of the IO interface of the third metal circuit layer in the third chip can be re-layout, which can improve the reliability of interconnection between the chips.
S340:将第二晶圆堆叠在制作有再布线层的载体晶圆的上方。S340: Stack the second wafer on top of the carrier wafer on which the rewiring layer is formed.
S350:通过再布线层将第二晶圆中的多个第二晶片与多个第一晶片进行电连接。S350: Electrically connect the plurality of second chips in the second wafer with the plurality of first chips through the rewiring layer.
具体地,该步骤S340与步骤S350可以参考上述步骤S230和步骤S240,也可以参考上述步骤S231至步骤S241,此处不再赘述。Specifically, this step S340 and step S350 can refer to the aforementioned step S230 and step S240, and can also refer to the aforementioned step S231 to step S241, which will not be repeated here.
此处需要说明的是,将第二晶圆键合在载体晶圆上方之后,第二晶圆中的多个第二晶片中每个第二晶片下方设置有对应的一个第一晶片和一个第三晶片,且该第二晶片的面积大于第一晶片和第三晶片的面积之和。键合之后,该多个第二晶片中的每个第二晶片均堆叠在其对应的一个第一晶片和一个第三晶片上方。It should be noted here that after the second wafer is bonded above the carrier wafer, a corresponding first wafer and a first wafer are provided below each second wafer among the plurality of second wafers in the second wafer. Three chips, and the area of the second chip is greater than the sum of the areas of the first chip and the third chip. After bonding, each second wafer of the plurality of second wafers is stacked above its corresponding first wafer and third wafer.
应当理解的是,该第二晶片下方还可以设置有其它多个数量的晶片,该多个晶片均对应的设置在载体晶片的凹槽中,本申请实施例对堆叠芯片中具体的晶片数量不做限定。It should be understood that multiple other numbers of wafers may also be arranged under the second wafer, and the multiple wafers are correspondingly arranged in the grooves of the carrier wafer. The embodiment of the present application does not have a specific number of wafers in the stacked chip. Make a limit.
S360:通过再布线层将第二晶圆中的多个第二晶片与多个第三晶片进行电连接。S360: Electrically connect the plurality of second chips in the second wafer with the plurality of third chips through the rewiring layer.
具体地,该步骤S360可以与上述步骤S350同时进行,且具体实施方式与上述步骤S350类似。Specifically, this step S360 can be performed simultaneously with the above step S350, and the specific implementation is similar to the above step S350.
可选地,该第三晶片同样通过硅通孔互连结构实现与第二晶片之间的电连接。具体地,第二晶片的表面生长有顶层金属线路层,硅通孔互连结构中的多个第一硅通孔互连结构连接顶层金属线路层以及再布线层,其中,一个第一硅通孔互连结构连接至第一晶片上方的再布线层,通过该再布线层连接至第一晶片表面的第一金属线路层。另一个第一硅通孔互连结构连接连接至第三晶片上方的再布线层,通过该再布线层连接至第三晶片表面的第三金属线路层。此外,硅通孔互连结构中的多个第二硅通孔互连结构连接顶层金属线路层以及第二晶片中的第二金属线路层。因此,通过该硅通孔互连结构将第二晶片中的第二金属线路层连接再布线层,从而实现第三晶片与第二晶片的电连接以及第一晶片与第二晶片的电连接。Optionally, the third wafer is also electrically connected to the second wafer through the through silicon via interconnection structure. Specifically, a top metal circuit layer is grown on the surface of the second wafer, and a plurality of first TSV interconnect structures in the TSV interconnect structure are connected to the top metal circuit layer and the rewiring layer. Among them, one of the first TSV interconnect structures is connected to the top metal circuit layer and the rewiring layer. The via interconnection structure is connected to the rewiring layer above the first wafer, and is connected to the first metal circuit layer on the surface of the first wafer through the rewiring layer. Another first TSV interconnect structure is connected to the rewiring layer above the third wafer, and is connected to the third metal circuit layer on the surface of the third wafer through the rewiring layer. In addition, the multiple second TSV interconnect structures in the TSV interconnect structure connect the top metal circuit layer and the second metal circuit layer in the second wafer. Therefore, the second metal circuit layer in the second wafer is connected to the rewiring layer through the through silicon via interconnection structure, thereby achieving electrical connection between the third wafer and the second wafer and the electrical connection between the first wafer and the second wafer.
可选地,在上述步骤之后,该制造方法300还包括:Optionally, after the above steps, the manufacturing method 300 further includes:
S370:沿载体晶圆的切割道进行晶片切割,得到多个堆叠式芯片。S370: Perform wafer dicing along the dicing path of the carrier wafer to obtain multiple stacked chips.
在本申请实施例中,切割得到的堆叠式芯片中包括三个晶片,其中,第二晶片堆叠在第一晶片和第三晶片的上方。可选地,得到的堆叠式芯片可以为上述图9至图10中的装置实施例中的堆叠式芯片20。In the embodiment of the present application, the stacked chips obtained by cutting include three wafers, wherein the second wafer is stacked above the first wafer and the third wafer. Optionally, the obtained stacked chip may be the stacked chip 20 in the device embodiment in FIG. 9 to FIG. 10 described above.
采用本申请实施例方案,能够充分利用堆叠芯片中的位置空间,在实现将大面积的晶片堆叠在多个小面积晶片的上方的同时,能够在晶圆上生长尽可能多的小晶片,减少制造成本。此外,多个晶片之间的键合,不需要采用多次晶圆键合工艺,从而进一步降低了工艺成本。By adopting the solution of the embodiment of the present application, the position space in the stacked chips can be fully utilized, and large-area chips can be stacked on top of multiple small-area chips, and as many small chips as possible can be grown on the wafer, reducing manufacturing cost. In addition, the bonding between multiple wafers does not require multiple wafer bonding processes, thereby further reducing process costs.
如图22所示,本申请实施例还提供了一种图像传感器30,该图像传感器30可以包括上述申请实施例的堆叠式芯片20。As shown in FIG. 22, an embodiment of the present application further provides an image sensor 30, and the image sensor 30 may include the stacked chip 20 of the foregoing application embodiment.
具体地,该堆叠式芯片20为一种堆叠式图像传感芯片,用于接收光信号并将光信号转化得到电信号,可选地,该堆叠式图像传感芯片经过封装等后续加工工艺可以形成图像传感器,该图像传感器30还可以包括其它的电学、光学或者机械元件,本申请实施例对此不做限定。Specifically, the stacked chip 20 is a stacked image sensor chip, which is used to receive optical signals and convert the optical signals to obtain electrical signals. Optionally, the stacked image sensor chip may undergo subsequent processing processes such as packaging. To form an image sensor, the image sensor 30 may also include other electrical, optical, or mechanical elements, which are not limited in the embodiment of the present application.
如图23所示,本申请实施例还提供了一种电子设备40,该电子设备40可以包括上述申请实施例的堆叠式芯片20。As shown in FIG. 23, an embodiment of the present application further provides an electronic device 40, and the electronic device 40 may include the stacked chip 20 of the foregoing application embodiment.
可选地,该堆叠式芯片20可以为一种图像传感芯片,应用于各种移动终端的拍摄装置中,例如手机的前置或者后置摄像头、数码相机等等。Optionally, the stacked chip 20 may be an image sensor chip, which is used in various mobile terminal shooting devices, such as front or rear cameras of mobile phones, digital cameras, and so on.
该电子设备还可以包括镜头、光路引导结构等光学装置。The electronic equipment may also include optical devices such as a lens and an optical path guiding structure.
应理解,本申请实施例中的具体的例子只是为了帮助本领域技术人员更好地理解本申请实施例,而非限制本申请实施例的范围。It should be understood that the specific examples in the embodiments of the present application are only for helping those skilled in the art to better understand the embodiments of the present application, rather than limiting the scope of the embodiments of the present application.
应理解,在本申请实施例和所附权利要求书中使用的术语是仅仅出于描述特定实施例的目的,而非旨在限制本申请实施例。例如,在本申请实施例和所附权利要求书中所使用的单数形式的“一种”、“上述”和“该”也旨在包括多数形式,除非上下文清楚地表示其他含义。It should be understood that the terms used in the embodiments of the present application and the appended claims are only for the purpose of describing specific embodiments, and are not intended to limit the embodiments of the present application. For example, the singular forms of "a", "above" and "the" used in the embodiments of the present application and the appended claims are also intended to include plural forms, unless the context clearly indicates other meanings.
本领域普通技术人员可以意识到,结合本文中所公开的实施例描述的各示例的单元,能够以电子硬件、计算机软件或者二者的结合来实现,为了清楚地说明硬件和软件的可互换性,在上述说明中已经按照功能一般性地描述了各示例的组成及步骤。这些功能究竟以硬件还是软件方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本申请的范围。A person of ordinary skill in the art may be aware that, in combination with the examples described in the embodiments disclosed herein, the units can be implemented by electronic hardware, computer software, or a combination of the two, in order to clearly illustrate the interchangeability of hardware and software. In the above description, the composition and steps of each example have been described generally in terms of function. Whether these functions are performed by hardware or software depends on the specific application and design constraint conditions of the technical solution. Professionals and technicians can use different methods for each specific application to implement the described functions, but such implementation should not be considered beyond the scope of this application.
在本申请所提供的几个实施例中,应该理解到,所揭露的系统、装置,可以通过其它的方式实现。例如,以上所描述的装置实施例仅仅是示意性的,例如,所述单元的划分,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式,例如多个单元或组件可以结合或者可以集成到另一个系统,或一些特征可以忽略,或不执行。另外,所显示或讨论的相互之间的耦合或直接耦合或通信连接可以是通过一些接口、装置或单元的间接耦合或通信连接,也可以是电的,机械的或其它的形式连接。In the several embodiments provided in this application, it should be understood that the disclosed system and device may be implemented in other ways. For example, the device embodiments described above are merely illustrative, for example, the division of the units is only a logical function division, and there may be other divisions in actual implementation, for example, multiple units or components may be combined or It can be integrated into another system, or some features can be ignored or not implemented. In addition, the displayed or discussed mutual coupling or direct coupling or communication connection may be indirect coupling or communication connection through some interfaces, devices or units, and may also be electrical, mechanical or other forms of connection.
所述作为分离部件说明的单元可以是或者也可以不是物理上分开的,作为单元显示的部件可以是或者也可以不是物理单元,即可以位于一个地方,或者也可以分布到多个网络单元上。可以根据实际的需要选择其中的部分或者全部单元来实现本申请实施例方案的目的。The units described as separate components may or may not be physically separated, and the components displayed as units may or may not be physical units, that is, they may be located in one place, or they may be distributed on multiple network units. Some or all of the units may be selected according to actual needs to achieve the objectives of the solutions of the embodiments of the present application.
另外,在本申请各个实施例中的各功能单元可以集成在一个处理单元中,也可以是各个单元单独物理存在,也可以是两个或两个以上单元集成在一个单元中。上述集成的单元既可以采用硬件的形式实现,也可以采用软件功能单元的形式实现。In addition, the functional units in the various embodiments of the present application may be integrated into one processing unit, or each unit may exist alone physically, or two or more units may be integrated into one unit. The above-mentioned integrated unit can be implemented in the form of hardware or software functional unit.
所述集成的单元如果以软件功能单元的形式实现并作为独立的产品销售或使用时,可以存储在一个计算机可读取存储介质中。基于这样的理解,本申请的技术方案本质上或者说对现有技术做出贡献的部分,或者该技术方案的全部或部分可以以软件产品的形式体现出来,该计算机软件产品存储在一个存储介质中,包括若干指令用以使得一台计算机设备(可以是个人计算机,服务器,或者网络设备等)执行本申请各个实施例所述方法的全部或部分步骤。而前述的存储介质包括:U盘、移动硬盘、只读存储器(read-only memory,ROM)、随机存取存储器(random access memory,RAM)、磁碟或者光盘等各种可以存储程序代码的介质。If the integrated unit is implemented in the form of a software functional unit and sold or used as an independent product, it can be stored in a computer readable storage medium. Based on this understanding, the technical solution of this application is essentially or the part that contributes to the existing technology, or all or part of the technical solution can be embodied in the form of a software product, and the computer software product is stored in a storage medium. It includes several instructions to make a computer device (which may be a personal computer, a server, or a network device, etc.) execute all or part of the steps of the methods described in the various embodiments of the present application. The aforementioned storage media include: U disk, mobile hard disk, read-only memory (read-only memory, ROM), random access memory (random access memory, RAM), magnetic disks or optical disks and other media that can store program codes. .
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到各种等效的修改或替换,这些修改或替换都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以权利要求的保护范围为准。The above are only specific implementations of this application, but the protection scope of this application is not limited to this. Anyone familiar with the technical field can easily think of various equivalents within the technical scope disclosed in this application. Modifications or replacements, these modifications or replacements shall be covered within the scope of protection of this application. Therefore, the protection scope of this application should be subject to the protection scope of the claims.

Claims (36)

  1. 一种堆叠式的芯片,其特征在于,包括:A stacked chip, characterized in that it comprises:
    载体晶片,其中设置有第一凹槽;A carrier wafer with a first groove provided therein;
    第一晶片,设置于所述第一凹槽中;The first wafer is arranged in the first groove;
    第二晶片,堆叠于所述载体晶片和所述第一晶片的上方,所述第二晶片的表面面积大于所述第一晶片的表面面积;A second wafer stacked above the carrier wafer and the first wafer, and the surface area of the second wafer is larger than the surface area of the first wafer;
    位于第二晶片与所述第一晶片之间的再布线层,所述第二晶片通过所述再布线层与所述第一晶片电连接。A rewiring layer located between the second wafer and the first wafer, and the second wafer is electrically connected to the first wafer through the rewiring layer.
  2. 根据权利要求1所述的芯片,其特征在于,The chip according to claim 1, wherein:
    所述堆叠式的芯片为图像传感芯片;The stacked chip is an image sensor chip;
    所述第二晶片为像素晶片,所述像素晶片包括像素阵列,用于接收光信号并转换为电信号;The second wafer is a pixel wafer, and the pixel wafer includes a pixel array for receiving optical signals and converting them into electrical signals;
    所述第一晶片为逻辑晶片,所述逻辑晶片包括信号处理电路,用于处理所述电信号。The first chip is a logic chip, and the logic chip includes a signal processing circuit for processing the electrical signal.
  3. 根据权利要求1或2所述的芯片,其特征在于,所述载体晶片的表面面积与所述第二晶片的表面面积相等,所述第二晶片与所述第一晶片之间通过晶圆级键合形成堆叠。The chip according to claim 1 or 2, wherein the surface area of the carrier wafer is equal to the surface area of the second wafer, and the second wafer and the first wafer pass through wafer level Bonding to form a stack.
  4. 根据权利要求1至3中任一项所述的芯片,其特征在于,所述芯片还包括填充层,所述填充层设置在所述第一晶片与所述第一凹槽之间、所述载体晶片的上表面、以及所述第一晶片上表面中除第一金属线路层外的区域;The chip according to any one of claims 1 to 3, wherein the chip further comprises a filling layer, and the filling layer is arranged between the first wafer and the first groove, and the The upper surface of the carrier wafer and the area on the upper surface of the first wafer excluding the first metal circuit layer;
    其中,所述填充层用于将所述第一晶片固定在所述第一凹槽中,所述第一金属线路层为所述第一晶片的线路层。Wherein, the filling layer is used to fix the first wafer in the first groove, and the first metal circuit layer is a circuit layer of the first wafer.
  5. 根据权利要求4所述的芯片,其特征在于,所述再布线层设置于所述填充层以及所述第一金属线路层的上表面,用于电连接所述第一金属线路层与所述第二晶片。4. The chip according to claim 4, wherein the rewiring layer is disposed on the filling layer and the upper surface of the first metal circuit layer for electrically connecting the first metal circuit layer and the The second wafer.
  6. 根据权利要求4或5所述的芯片,其特征在于,所述芯片还包括绝缘介质层,所述绝缘介质层覆盖在所述再布线层以及所述填充层上方,所述绝缘介质层的上表面与所述第二晶片的下表面键合在一起。The chip according to claim 4 or 5, wherein the chip further comprises an insulating dielectric layer, the insulating dielectric layer covering the rewiring layer and the filling layer, on the insulating dielectric layer The surface is bonded to the lower surface of the second wafer.
  7. 根据权利要求4至6中任一项所述的芯片,其特征在于,所述填充层为可用于光刻的干膜材料层。The chip according to any one of claims 4 to 6, wherein the filling layer is a dry film material layer that can be used for photolithography.
  8. 根据权利要求1至7中任一项所述的芯片,其特征在于,所述芯片还包括通孔互连结构,所述通孔互连结构用于电连接所述第二晶片和所述第一晶片。The chip according to any one of claims 1 to 7, wherein the chip further comprises a through-hole interconnection structure, and the through-hole interconnection structure is used to electrically connect the second wafer and the second wafer. One chip.
  9. 根据权利要求8所述的芯片,其特征在于,所述第二晶片包括第二金属线路层和顶层金属线路层,其中,所述第二金属线路层位于所述第二晶片内部,所述顶层金属线路层位于所述第二晶片的上表面;8. The chip of claim 8, wherein the second wafer comprises a second metal circuit layer and a top metal circuit layer, wherein the second metal circuit layer is located inside the second wafer, and the top layer The metal circuit layer is located on the upper surface of the second chip;
    所述通孔互连结构中的第一通孔互连结构连接所述顶层金属线路层和所述再布线层,所述通孔互连结构中的第二通孔互连结构连接所述顶层金属线路层和所述第二金属线路层,其中,所述再布线层与所述第一晶片的线路层电连接。The first via interconnection structure in the via interconnection structure connects the top metal circuit layer and the rewiring layer, and the second via interconnection structure in the via interconnection structure connects the top layer The metal circuit layer and the second metal circuit layer, wherein the rewiring layer is electrically connected to the circuit layer of the first wafer.
  10. 根据权利要求1至9中任一项所述的芯片,其特征在于,所述芯片还包括第一胶层,所述第一胶层设置在所述第一晶片的下表面,所述第一胶层用于将所述第一晶片粘接在所述第一凹槽中。The chip according to any one of claims 1 to 9, wherein the chip further comprises a first adhesive layer, the first adhesive layer is disposed on the lower surface of the first wafer, and the first adhesive layer The glue layer is used for bonding the first chip in the first groove.
  11. 根据权利要求1至10中任一项所述的芯片,其特征在于,所述第一晶片的上表面不高于所述载体晶片的上表面。The chip according to any one of claims 1 to 10, wherein the upper surface of the first wafer is not higher than the upper surface of the carrier wafer.
  12. 根据权利要求1至11中任一项所述的芯片,其特征在于,所述载体晶片中还设置有第二凹槽,所述芯片还包括:第三晶片,所述第三晶片设置在所述第二凹槽中;The chip according to any one of claims 1 to 11, wherein a second groove is further provided in the carrier wafer, and the chip further comprises: a third wafer, and the third wafer is provided in the carrier wafer. In the second groove;
    所述第二晶片堆叠于所述第一晶片、所述第三晶片以及所述载体晶片的上方,且所述第二晶片的表面面积大于所述第一晶片与所述第三晶片的表面面积之和。The second wafer is stacked above the first wafer, the third wafer, and the carrier wafer, and the surface area of the second wafer is larger than the surface area of the first wafer and the third wafer Sum.
  13. 根据权利要求12所述的芯片,其特征在于,所述第三晶片、所述第一晶片与所述第二晶片之间通过晶圆级键合形成堆叠。The chip according to claim 12, wherein the third chip, the first chip and the second chip are stacked by wafer-level bonding.
  14. 根据权利要求12或13所述的芯片,其特征在于,所述第一晶片通过所述再布线层与所述第三晶片电连接,所述第二晶片通过通孔互连结构与所述第三晶片电连接。The chip according to claim 12 or 13, wherein the first wafer is electrically connected to the third wafer through the rewiring layer, and the second wafer is electrically connected to the third wafer through a via interconnection structure. Three-chip electrical connection.
  15. 根据权利要求12至14中任一项所述的芯片,其特征在于,所述第三晶片为图像传感芯片中的内存晶片,所述内存晶片包括存储电路,用于存储所述第一晶片和/或所述第二晶片产生的电信号。The chip according to any one of claims 12 to 14, wherein the third chip is a memory chip in an image sensor chip, and the memory chip includes a storage circuit for storing the first chip And/or electrical signals generated by the second wafer.
  16. 根据权利要求1至15中任一项所述的芯片,其特征在于,所述第二晶片为图像传感芯片中的像素晶片,所述像素晶片的像素阵列接近于所述 像素晶片的上表面,所述像素阵列上方设置有滤光层和/或微透镜阵列。The chip according to any one of claims 1 to 15, wherein the second chip is a pixel chip in an image sensor chip, and the pixel array of the pixel chip is close to the upper surface of the pixel chip A filter layer and/or a micro lens array are arranged above the pixel array.
  17. 根据权利要求1至16中任一项所述的芯片,其特征在于,所述载体晶片的材料为硅、玻璃、陶瓷中的任意一种。The chip according to any one of claims 1 to 16, wherein the material of the carrier wafer is any one of silicon, glass, and ceramic.
  18. 一种堆叠式芯片的制造方法,其特征在于,包括:A method for manufacturing a stacked chip, which is characterized in that it comprises:
    在载体晶圆上制作多个第一凹槽;Making a plurality of first grooves on the carrier wafer;
    从第一晶圆上分割出多个第一晶片,并在所述载体晶圆的所述多个第一凹槽中固定所述多个第一晶片;Dividing a plurality of first wafers from the first wafer, and fixing the plurality of first wafers in the plurality of first grooves of the carrier wafer;
    在固定有所述多个第一晶片的所述载体晶圆上制备再布线层;Preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed;
    将第二晶圆堆叠在制作有所述再布线层的所述载体晶圆的上方;Stacking a second wafer on top of the carrier wafer on which the rewiring layer is made;
    通过所述再布线层将堆叠后的所述第二晶圆中的多个第二晶片与所述多个第一晶片进行电连接;Electrically connecting the plurality of second chips in the second wafer after the stack to the plurality of first chips through the rewiring layer;
    将所述电连接后的第二晶圆与第一晶圆的整体进行切割,以得到多个堆叠式芯片;Cutting the electrically connected second wafer and the whole of the first wafer to obtain a plurality of stacked chips;
    其中,所述多个第二晶片与所述多个第一晶片一一对应,并分别堆叠在所述多个第一晶片的上方,且所述多个第二晶片中每个第二晶片的表面面积大于所述多个第一晶片中每个第一晶片的表面面积。Wherein, the plurality of second wafers correspond to the plurality of first wafers one-to-one, and are respectively stacked above the plurality of first wafers, and each second wafer of the plurality of second wafers The surface area is greater than the surface area of each first wafer in the plurality of first wafers.
  19. 根据权利要求18所述的制造方法,其特征在于,所述将第二晶圆堆叠在制作有所述再布线层的所述载体晶圆的上方,包括:18. The manufacturing method of claim 18, wherein the stacking of the second wafer on the carrier wafer on which the rewiring layer is formed comprises:
    采用晶圆键合工艺将所述第二晶圆键合在制作有所述再布线层的所述载体晶圆的上方,其中,所述第二晶圆的表面面积与所述载体晶圆的表面面积相等。The second wafer is bonded above the carrier wafer on which the rewiring layer is formed by using a wafer bonding process, wherein the surface area of the second wafer is equal to that of the carrier wafer The surface area is equal.
  20. 根据权利要求18或19所述的制造方法,其特征在于,所述堆叠式芯片为图像传感芯片,所述多个第二晶片为像素晶片,所述多个第二晶片均包括像素阵列,用于接收光信号并转换为电信号;The manufacturing method according to claim 18 or 19, wherein the stacked chip is an image sensor chip, the plurality of second chips are pixel chips, and each of the plurality of second chips includes a pixel array, Used to receive optical signals and convert them into electrical signals;
    所述多个第一晶片为逻辑晶片,所述多个第一晶片均包括信号处理电路,用于处理所述电信号。The plurality of first chips are logic chips, and each of the plurality of first chips includes a signal processing circuit for processing the electrical signal.
  21. 根据权利要求20所述的制造方法,其特征在于,将第二晶圆堆叠在制作有所述再布线层的所述载体晶圆的上方后,所述制造方法还包括:22. The manufacturing method of claim 20, wherein after stacking the second wafer on the carrier wafer on which the rewiring layer is formed, the manufacturing method further comprises:
    对所述第二晶圆的上表面进行减薄处理;Thinning the upper surface of the second wafer;
    其中,所述第二晶圆中多个第二晶片的像素阵列接近于减薄处理后的所第二晶圆的上表面。Wherein, the pixel arrays of the plurality of second wafers in the second wafer are close to the upper surface of the second wafer after the thinning process.
  22. 根据权利要求21所述的制造方法,其特征在于,对所述第二晶圆的上表面进行减薄处理后,所述制造方法还包括:在所述第二晶圆中多个第二晶片的像素阵列上方制备有滤光层和/或微透镜阵列。22. The manufacturing method of claim 21, wherein after thinning the upper surface of the second wafer, the manufacturing method further comprises: placing a plurality of second wafers in the second wafer A filter layer and/or a micro lens array are prepared above the pixel array.
  23. 根据权利要求18至22中任一项所述的制造方法,其特征在于,在所述载体晶圆的所述多个第一凹槽中固定所述多个第一晶片后,所述制造方法还包括:The manufacturing method according to any one of claims 18 to 22, wherein after the plurality of first wafers are fixed in the plurality of first grooves of the carrier wafer, the manufacturing method Also includes:
    将填充材料填充于所述多个第一晶片与所述多个第一凹槽之间的空隙以及所述载体晶圆的上表面;Filling the gaps between the plurality of first dies and the plurality of first recesses and the upper surface of the carrier wafer with a filling material;
    在真空环境下对所述填充材料进行加热以形成稳定的填充层。The filling material is heated in a vacuum environment to form a stable filling layer.
  24. 根据权利要求23所述的制造方法,其特征在于,所述在固定有所述多个第一晶片的所述载体晶圆上制备再布线层,包括:22. The manufacturing method of claim 23, wherein the preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed includes:
    对所述填充层进行开窗处理,去除所述多个第一晶片上表面中多个第一金属线路层上方的局部填充层,其中,所述多个第一金属线路层为所述多个第一晶片中的线路层;The filling layer is windowed to remove the partial filling layer above the multiple first metal circuit layers on the upper surface of the multiple first wafers, wherein the multiple first metal circuit layers are the multiple The circuit layer in the first chip;
    在所述填充层和所述多个第一金属线路层上方制备所述再布线层,所述再布线层用于电连接所述多个第一金属线路层与所述第二晶圆中的多个第二晶片。The rewiring layer is prepared above the filling layer and the plurality of first metal circuit layers, and the rewiring layer is used to electrically connect the plurality of first metal circuit layers and the second wafer Multiple second wafers.
  25. 根据权利要求23或24所述的制造方法,其特征在于,所述将第二晶圆堆叠在制作有所述再布线层的所述载体晶圆的上方,包括:The manufacturing method according to claim 23 or 24, wherein the stacking of the second wafer on the carrier wafer on which the rewiring layer is formed comprises:
    在所述再布线层以及所述填充层上方制备绝缘介质层,所述绝缘介质层用于覆盖所述再布线层以及所述填充层;Preparing an insulating dielectric layer above the rewiring layer and the filling layer, where the insulating dielectric layer is used to cover the rewiring layer and the filling layer;
    采用晶圆键合工艺键合所述绝缘介质层的上表面与所述第二晶圆的下表面。A wafer bonding process is used to bond the upper surface of the insulating dielectric layer and the lower surface of the second wafer.
  26. 根据权利要求25所述的制造方法,其特征在于,所述采用晶圆键合工艺键合所述绝缘介质层的上表面与所述第二晶圆的下表面,包括:26. The manufacturing method of claim 25, wherein the bonding the upper surface of the insulating dielectric layer and the lower surface of the second wafer using a wafer bonding process comprises:
    将所述绝缘介质层的上表面与所述第二晶圆的下表面进行平坦化处理,其中,经过平坦化处理后的所述绝缘介质层的上表面与所述第二晶圆的下表面的平整度和/或粗糙度满足预设阈值;The upper surface of the insulating dielectric layer and the lower surface of the second wafer are planarized, wherein the upper surface of the insulating dielectric layer after the planarization process and the lower surface of the second wafer The flatness and/or roughness meets the preset threshold;
    将所述绝缘介质层的上表面与所述第二晶圆的下表面贴合,并进行高温退火,以键合所述绝缘介质层的上表面与所述第二晶圆的下表面。The upper surface of the insulating dielectric layer is bonded to the lower surface of the second wafer, and high-temperature annealing is performed to bond the upper surface of the insulating dielectric layer and the lower surface of the second wafer.
  27. 根据权利要求23至26中任一项所述的制造方法,其特征在于,所 述填充材料为可用于光刻的干膜材料。The manufacturing method according to any one of claims 23 to 26, wherein the filling material is a dry film material that can be used for photolithography.
  28. 根据权利要求18至27中任一项所述的制造方法,其特征在于,所述在所述载体晶圆的所述多个第一凹槽中固定所述多个第一晶片,包括:The manufacturing method according to any one of claims 18 to 27, wherein the fixing the plurality of first wafers in the plurality of first grooves of the carrier wafer comprises:
    通过取放工艺将所述多个第一晶片放入所述多个第一凹槽中;Putting the plurality of first wafers into the plurality of first grooves through a pick-and-place process;
    其中,所述多个第一晶片的下表面分别设置有第一胶层,所述多个第一晶片的下表面通过所述第一胶层粘接在所述多个第一凹槽中。Wherein, the lower surfaces of the plurality of first wafers are respectively provided with a first adhesive layer, and the lower surfaces of the plurality of first wafers are bonded in the plurality of first grooves through the first adhesive layer.
  29. 根据权利要求18至28中任一项所述的制造方法,其特征在于,所述多个第一晶片的上表面均不高于所述载体晶圆的上表面。The manufacturing method according to any one of claims 18 to 28, wherein the upper surface of the plurality of first wafers is not higher than the upper surface of the carrier wafer.
  30. 根据权利要求18至29中任一项所述的制造方法,其特征在于,通过所述再布线层将堆叠后的所述第二晶圆中的多个第二晶片与所述多个第一晶片进行电连接,包括:The manufacturing method according to any one of claims 18 to 29, wherein a plurality of second wafers in the second wafer after being stacked are connected to the plurality of first wafers through the rewiring layer. The chip is electrically connected, including:
    在堆叠后的所述多个第二晶片与所述多个第一晶片中制备多个通孔互连结构,所述多个通孔互连结构用于通过多个顶层金属线路层将多个第二金属线路层与所述再布线层电连接;A plurality of through-hole interconnection structures are prepared in the plurality of second wafers and the plurality of first wafers after the stack, and the plurality of through-hole interconnection structures are used to connect a plurality of The second metal circuit layer is electrically connected to the rewiring layer;
    其中,所述再布线层与所述多个第一晶片的线路层电连接,所述多个第二金属线路层为所述多个第二晶片中的线路层,所述多个顶层金属线路层设置于所述多个第二晶片上表面。Wherein, the rewiring layer is electrically connected to the circuit layers of the plurality of first wafers, the plurality of second metal circuit layers are circuit layers in the plurality of second wafers, and the plurality of top metal circuits The layer is disposed on the upper surface of the plurality of second wafers.
  31. 根据权利要求18至30中任一项所述的制造方法,其特征在于,在固定有所述多个第一晶片的所述载体晶圆上制备再布线层之前,所述制造方法还包括:The manufacturing method according to any one of claims 18 to 30, wherein before preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed, the manufacturing method further comprises:
    在所述载体晶圆上制作多个第二凹槽,且所述多个第二凹槽与所述多个第一凹槽位于所述载体晶圆的同一面;Forming a plurality of second grooves on the carrier wafer, and the plurality of second grooves and the plurality of first grooves are located on the same surface of the carrier wafer;
    从第三晶圆上分割出多个第三晶片,并在所述载体晶圆的所述多个第二凹槽中固定所述多个第三晶片;Dividing a plurality of third wafers from the third wafer, and fixing the plurality of third wafers in the plurality of second grooves of the carrier wafer;
    所述在固定有所述多个第一晶片的所述载体晶圆上制备再布线层,包括:The preparing a rewiring layer on the carrier wafer on which the plurality of first wafers are fixed includes:
    在固定有所述多个第一晶片以及所述多个第三晶片的所述载体晶圆上制备所述再布线层;Preparing the rewiring layer on the carrier wafer on which the plurality of first chips and the plurality of third chips are fixed;
    在将第二晶圆堆叠在制作有所述再布线层的所述载体晶圆的上方之后,所述制造方法还包括:After stacking the second wafer on the carrier wafer on which the rewiring layer is formed, the manufacturing method further includes:
    通过所述再布线层将堆叠后的所述第二晶圆中多个第二晶片与所述多 个第三晶片进行电连接;Electrically connecting a plurality of second chips in the second wafer after the stack to the plurality of third chips through the rewiring layer;
    其中,所述多个第二晶片与所述多个第三晶片一一对应,所述多个第二晶片堆叠于所述多个第一晶片、所述多个第三晶片以及所述载体晶圆的上方,且所述多个第二晶片中每个第二晶片的表面面积大于所述多个第一晶片中一个第一晶片与所述多个第三晶片中一个第三晶片的表面面积之和。Wherein, the plurality of second wafers correspond to the plurality of third wafers one-to-one, and the plurality of second wafers are stacked on the plurality of first wafers, the plurality of third wafers, and the carrier crystal Above the circle, and the surface area of each second wafer among the plurality of second wafers is larger than the surface area of one first wafer among the plurality of first wafers and one third wafer among the plurality of third wafers Sum.
  32. 根据权利要求31所述的制造方法,其特征在于,所述多个第一晶片通过所述再布线层与所述多个第三晶片电连接,所述多个第二晶片通过多个通孔互连结构与所述多个第三晶片电连接。The manufacturing method according to claim 31, wherein the plurality of first wafers are electrically connected to the plurality of third wafers through the rewiring layer, and the plurality of second wafers pass through a plurality of through holes. The interconnection structure is electrically connected to the plurality of third wafers.
  33. 根据权利要求31或32所述的制造方法,其特征在于,所述多个第三晶片为多个内存晶片,包括存储电路,用于存储所述多个第一晶片和/或所述多个第二晶片产生的电信号。The manufacturing method according to claim 31 or 32, wherein the plurality of third chips are a plurality of memory chips, including a storage circuit for storing the plurality of first chips and/or the plurality of The electrical signal generated by the second wafer.
  34. 根据权利要求18至33中任一项所述的制造方法,其特征在于,所述载体晶圆的衬底材料为硅、玻璃、陶瓷中的任意一种。The manufacturing method according to any one of claims 18 to 33, wherein the substrate material of the carrier wafer is any one of silicon, glass, and ceramic.
  35. 一种图像传感器,其特征在于,包括:如权利要求1至17中任一项所述的堆叠式的芯片。An image sensor, characterized by comprising: the stacked chip according to any one of claims 1 to 17.
  36. 一种电子设备,其特征在于,包括:如权利要求1至17中任一项所述的堆叠式的芯片。An electronic device, characterized by comprising: the stacked chip according to any one of claims 1 to 17.
PCT/CN2019/117674 2019-11-12 2019-11-12 Stacked chip, manufacturing method, image sensor, and electronic device WO2021092777A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201980002755.6A CN110945660B (en) 2019-11-12 2019-11-12 Stacked chip, manufacturing method, image sensor and electronic device
PCT/CN2019/117674 WO2021092777A1 (en) 2019-11-12 2019-11-12 Stacked chip, manufacturing method, image sensor, and electronic device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/117674 WO2021092777A1 (en) 2019-11-12 2019-11-12 Stacked chip, manufacturing method, image sensor, and electronic device

Publications (1)

Publication Number Publication Date
WO2021092777A1 true WO2021092777A1 (en) 2021-05-20

Family

ID=69913811

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2019/117674 WO2021092777A1 (en) 2019-11-12 2019-11-12 Stacked chip, manufacturing method, image sensor, and electronic device

Country Status (2)

Country Link
CN (1) CN110945660B (en)
WO (1) WO2021092777A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116250074A (en) * 2020-09-30 2023-06-09 华为技术有限公司 Three-dimensional integrated circuit, preparation method thereof and electronic equipment
CN113178169B (en) * 2021-04-27 2023-08-04 深圳市芯视佳半导体科技有限公司 Silicon-based OLED chip structure, AR equipment and manufacturing method
CN113690261A (en) * 2021-08-23 2021-11-23 锐芯微电子股份有限公司 Method for forming CMOS image sensor

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2598136Y (en) * 2002-12-27 2004-01-07 胜开科技股份有限公司 Wafer stacking structure
CN1964036A (en) * 2005-11-11 2007-05-16 南茂科技股份有限公司 A stacking type wafer packaging structure
CN101335267A (en) * 2007-06-27 2008-12-31 财团法人工业技术研究院 Image sensing module having crystal three-dimensional stacking construction
TW200933868A (en) * 2008-01-28 2009-08-01 Orient Semiconductor Elect Ltd Stacked chip package structure
CN102484099A (en) * 2009-08-26 2012-05-30 高通股份有限公司 Semiconductor wafer-to-wafer bonding for dissimilar semiconductor dies and/or wafers
CN108288609A (en) * 2018-01-30 2018-07-17 德淮半导体有限公司 Wafer-to-wafer stacking and its manufacturing method and image sensering device
US20180233526A1 (en) * 2016-05-06 2018-08-16 International Business Machines Corporation Heterogeneous integration using wafer-to-wafer stacking with die size adjustment

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5691248A (en) * 1995-07-26 1997-11-25 International Business Machines Corporation Methods for precise definition of integrated circuit chip edges
JP2009500820A (en) * 2005-06-29 2009-01-08 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method and assembly for manufacturing an assembly
US7754532B2 (en) * 2006-10-19 2010-07-13 Micron Technology, Inc. High density chip packages, methods of forming, and systems including same
TWI335059B (en) * 2007-07-31 2010-12-21 Siliconware Precision Industries Co Ltd Multi-chip stack structure having silicon channel and method for fabricating the same
US8048794B2 (en) * 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
JP5778453B2 (en) * 2011-03-25 2015-09-16 大日本印刷株式会社 Semiconductor device and method for manufacturing semiconductor device
JP6151354B2 (en) * 2012-05-17 2017-06-21 ヘプタゴン・マイクロ・オプティクス・プライベート・リミテッドHeptagon Micro Optics Pte. Ltd. Assembly of wafer stack
US8773562B1 (en) * 2013-01-31 2014-07-08 Apple Inc. Vertically stacked image sensor
KR102258739B1 (en) * 2014-03-26 2021-06-02 삼성전자주식회사 Semiconductor devices having hybrid stacking structures and methods for fabricating the same
US20180068843A1 (en) * 2016-09-07 2018-03-08 Raytheon Company Wafer stacking to form a multi-wafer-bonded structure
JP6256562B2 (en) * 2016-10-13 2018-01-10 ソニー株式会社 Solid-state imaging device and electronic device
CN108336037B (en) * 2017-09-30 2022-02-11 中芯集成电路(宁波)有限公司 Wafer level system packaging structure and electronic device
KR102467845B1 (en) * 2017-10-24 2022-11-16 삼성전자주식회사 Stack-type CMOS Image Sensor(CIS)
CN109860064B (en) * 2018-12-21 2021-04-06 中芯集成电路(宁波)有限公司 Wafer level system packaging method and packaging structure
CN110137096A (en) * 2019-05-17 2019-08-16 武汉新芯集成电路制造有限公司 A kind of bonding structure and its manufacturing method
CN110223997A (en) * 2019-06-20 2019-09-10 德淮半导体有限公司 Stack imaging sensor and forming method thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2598136Y (en) * 2002-12-27 2004-01-07 胜开科技股份有限公司 Wafer stacking structure
CN1964036A (en) * 2005-11-11 2007-05-16 南茂科技股份有限公司 A stacking type wafer packaging structure
CN101335267A (en) * 2007-06-27 2008-12-31 财团法人工业技术研究院 Image sensing module having crystal three-dimensional stacking construction
TW200933868A (en) * 2008-01-28 2009-08-01 Orient Semiconductor Elect Ltd Stacked chip package structure
CN102484099A (en) * 2009-08-26 2012-05-30 高通股份有限公司 Semiconductor wafer-to-wafer bonding for dissimilar semiconductor dies and/or wafers
US20180233526A1 (en) * 2016-05-06 2018-08-16 International Business Machines Corporation Heterogeneous integration using wafer-to-wafer stacking with die size adjustment
CN108288609A (en) * 2018-01-30 2018-07-17 德淮半导体有限公司 Wafer-to-wafer stacking and its manufacturing method and image sensering device

Also Published As

Publication number Publication date
CN110945660A (en) 2020-03-31
CN110945660B (en) 2024-01-23

Similar Documents

Publication Publication Date Title
US10930699B2 (en) Method and apparatus for image sensor packaging
WO2021146860A1 (en) Stacked chip, manufacturing method, image sensor, and electronic device
US20230282634A1 (en) Stacked devices and methods of fabrication
US10483243B2 (en) Semiconductor devices including stacked semiconductor chips
TWI632656B (en) Semiconductor apparatus and method of manufacturing semiconductor apparatus
US9793309B2 (en) Image sensor package
CN105593995B (en) Semiconductor device, method of manufacturing the same, and electronic apparatus
US10090349B2 (en) CMOS image sensor chips with stacked scheme and methods for forming the same
WO2021092777A1 (en) Stacked chip, manufacturing method, image sensor, and electronic device
US20130093036A1 (en) Method of fabricating backside-illuminated image sensor
CN210866179U (en) Stacked image sensing chip, image sensor and electronic device
KR20180016053A (en) Stacked Image Sensor Package And Stacked Image Sensor Module
TWI594406B (en) Three dimensional system-on-chip image sensor package
US20160049436A1 (en) Chip package and method of manufacturing the same
WO2022087894A1 (en) Multichip packaging structure, manufacturing method, and electronic device
WO2022140972A1 (en) Chip stacked structure and method for manufacturing same, and chip packaging structure and electronic device
US11594571B2 (en) Stacked image sensor device and method of forming same
US9184206B1 (en) Backside illuminated color image sensors and methods for manufacturing the same
WO2023195265A1 (en) Sensor device
WO2022179489A1 (en) Method for manufacturing semiconductor device, and semiconductor device and semiconductor package
TWI817434B (en) Optical semiconductor device with cascade vias
WO2023010259A1 (en) Chip stacked structure and manufacturing method therefor, and chip packaging structure, and electronic device
WO2023032273A1 (en) Light detecting device and electronic apparatus
WO2022266560A1 (en) Stacked integrated circuit dies and interconnect structures
CN116110915A (en) Image sensor structure and forming method thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19952774

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19952774

Country of ref document: EP

Kind code of ref document: A1