WO2018094619A1 - Tunneling transistor and preparation method therefor - Google Patents

Tunneling transistor and preparation method therefor Download PDF

Info

Publication number
WO2018094619A1
WO2018094619A1 PCT/CN2016/106986 CN2016106986W WO2018094619A1 WO 2018094619 A1 WO2018094619 A1 WO 2018094619A1 CN 2016106986 W CN2016106986 W CN 2016106986W WO 2018094619 A1 WO2018094619 A1 WO 2018094619A1
Authority
WO
WIPO (PCT)
Prior art keywords
conductive material
material layer
layer
drain
gate dielectric
Prior art date
Application number
PCT/CN2016/106986
Other languages
French (fr)
Chinese (zh)
Inventor
李伟
徐慧龙
张臣雄
Original Assignee
华为技术有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 华为技术有限公司 filed Critical 华为技术有限公司
Priority to CN201680085756.8A priority Critical patent/CN109155333B/en
Priority to PCT/CN2016/106986 priority patent/WO2018094619A1/en
Publication of WO2018094619A1 publication Critical patent/WO2018094619A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A tunneling transistor and a preparation method therefor. The transistor comprises a source, a drain, and a heterojunction. The heterojunction comprises a first conducting material layer and a second conducting material layer that are stacked. One of the two conducting material layers is made of a two-dimensional material, and the other of the two conducting material layers is a material layer prepared by using a two-dimensional or three-dimensional material. The source is electrically connected to the first conducting material layer and is insulated from the second conducting material layer, and the drain is electrically connected to the second conducting material layer and is insulated from the first conducting material layer. In the technical solution, a trench material is a two-dimensional material, and an interface barrier of the heterojunction is small (smaller than 0.3eV), thereby increasing the tunneling probability, and increasing a tunnel current. A local gate structure is used, and the gate just controls a tunneling region of the heterojunction. The forming of the heterojunction is simple, and the heterojunction does not have a fault caused by lattice mismatch, and accordingly, the leakage of a current can be effectively restricted.

Description

一种隧穿晶体管及其制备方法Tunneling transistor and preparation method thereof 技术领域Technical field
本发明涉及到半导体技术领域,尤其涉及到一种隧穿晶体管及其制备方法。The present invention relates to the field of semiconductor technology, and in particular, to a tunneling transistor and a method of fabricating the same.
背景技术Background technique
随着晶体管尺寸的不断缩减,短沟道效应导致的漏电流不断增加,致使集成电路的功耗成为越来越不可忽视的问题。与传统MOSFET不同,TFET(隧穿晶体管)采用带间隧穿机制,其SS可低于60mV/dec的室温限制,能有效降低工作电压,从而显著降低功耗。As transistor sizes continue to shrink, the leakage current caused by short channel effects continues to increase, making the power consumption of integrated circuits an increasingly important issue. Unlike conventional MOSFETs, TFETs (tunneling transistors) use inter-band tunneling, and their SS can be used at room temperature limits below 60mV/dec, which effectively reduces operating voltage and significantly reduces power consumption.
现有的TFET技术主要为以硅为沟道材料的同质结TFET和以III-V族材料为沟道的异质结TFET。由于硅材料带隙较大、且为间接带隙半导体,硅基TFET虽能得到小于60mV/dec的SS,但其开态电流大都小于1μA/μm,不能满足应用要求。III-V族材料虽然带隙较小、且有效质量很小,基于III-V族材料的异质结TFET能得到很大的开态电流,但由于晶格失配导致的异质结界面缺陷等原因致使其未能获得小于60mV/dec的SS。The existing TFET technology is mainly a homojunction TFET with silicon as a channel material and a heterojunction TFET with a III-V material as a channel. Since the silicon material has a large band gap and is an indirect bandgap semiconductor, the silicon-based TFET can obtain an SS of less than 60 mV/dec, but its on-state current is mostly less than 1 μA/μm, which cannot meet the application requirements. Although the III-V material has a small band gap and a small effective mass, a heterojunction TFET based on a III-V material can obtain a large on-state current, but a heterojunction interface defect due to lattice mismatch. Etc. caused it to fail to obtain SS less than 60mV/dec.
发明内容Summary of the invention
本发明实施例提供了一种隧穿晶体管及其制备方法,用以提高隧穿晶体管的导通性能。Embodiments of the present invention provide a tunneling transistor and a method of fabricating the same to improve the conduction performance of a tunneling transistor.
本发明实施例提供了一种隧穿晶体管,包括:源极、漏极及异质结;其中,所述异质结包括层叠的第一导电材料层和第二导电材料层,所述第一导电材料层与所述第二导电材料层中,一个导电材料层为二维材料制作的材料层,另一个导电材料层为二维材料或三维材料制作的材料层;所述源极与所述第一导电材料层导电连接且与所述第二导电材料层绝缘,所述漏极与所述第二导电材料层电连接且与所述第一导电材料层绝缘。 Embodiments of the present invention provide a tunneling transistor including: a source, a drain, and a heterojunction; wherein the heterojunction includes a stacked first conductive material layer and a second conductive material layer, the first In the conductive material layer and the second conductive material layer, one conductive material layer is a material layer made of a two-dimensional material, and the other conductive material layer is a two-dimensional material or a three-dimensional material material layer; the source and the A first conductive material layer is electrically connected and insulated from the second conductive material layer, and the drain is electrically connected to the second conductive material layer and insulated from the first conductive material layer.
本发明实施例中,沟道材料为原子级薄的二维材料,能增强栅极对沟道的控制,采用线隧穿结构,隧穿面积大,能有效增加隧穿电流。此外,采用二种类型的异质结结构,且异质结界面势垒较小(小于0.3eV),增加了隧穿几率,提高隧穿电流。采用局域栅结构,栅极只控制异质结隧穿区域。异质结的形成简单,且该异质结无晶格失配所导致的界面缺陷,能有效抑制泄漏电流。In the embodiment of the invention, the channel material is a two-dimensional material with a thin atomic level, which can enhance the control of the gate to the channel, adopts a line tunneling structure, has a large tunneling area, and can effectively increase the tunneling current. In addition, two types of heterojunction structures are used, and the heterojunction interface barrier is small (less than 0.3 eV), which increases the tunneling probability and increases the tunneling current. With a local gate structure, the gate only controls the heterojunction tunneling region. The formation of the heterojunction is simple, and the heterojunction has no interface defects caused by lattice mismatch, and the leakage current can be effectively suppressed.
在一个具体的设置方式中,所述隧穿晶体管还包括栅介质层及栅极金属层,其中,所述栅介质层设置在所述异质结上,所述栅极金属层设置在所述栅介质层上。In a specific arrangement, the tunneling transistor further includes a gate dielectric layer and a gate metal layer, wherein the gate dielectric layer is disposed on the heterojunction, and the gate metal layer is disposed on the On the gate dielectric layer.
在一个具体的设置方式中,所述栅介质层覆盖在所述第二导电材料层上,且所述栅介质层部分覆盖在所述第一导电材料层上,且所述栅介质层覆盖在所述第一导电材料层上的部分介于所述源极与所述第二导电材料层之间。即通过栅介质层实现源极与第二导电材料层之间的绝缘。In a specific arrangement, the gate dielectric layer covers the second conductive material layer, and the gate dielectric layer partially covers the first conductive material layer, and the gate dielectric layer covers A portion of the first conductive material layer is interposed between the source and the second conductive material layer. That is, the insulation between the source and the second conductive material layer is achieved by the gate dielectric layer.
在上述实施例中,所述隧穿晶体管还包括衬底,所述第一导电材料层为所述隧穿晶体管的衬底。采用二维材料作为衬底,简化隧穿晶体管的结构。In the above embodiment, the tunneling transistor further includes a substrate, and the first conductive material layer is a substrate of the tunneling transistor. The use of a two-dimensional material as a substrate simplifies the structure of the tunneling transistor.
在一个具体实施方式中,还包括设置在所述第一导电材料层上的绝缘层,且所述绝缘层覆盖部分第二导电材料层,所述漏极通过所述绝缘层与所述第一导电材料层绝缘。In a specific embodiment, the method further includes an insulating layer disposed on the first conductive material layer, and the insulating layer covers a portion of the second conductive material layer, and the drain passes through the insulating layer and the first layer The conductive material layer is insulated.
在另一个具体的实施方式中,还包括绝缘层,所述第一导电材料层上设置有凹槽,所述绝缘层设置在所述凹槽内,所述漏极设置在所述绝缘层上且部分覆盖在所述第二导电材料层。In another specific embodiment, the method further includes an insulating layer, the first conductive material layer is provided with a groove, the insulating layer is disposed in the groove, and the drain is disposed on the insulating layer And partially covering the second conductive material layer.
在具体设置时,该漏极部分覆盖在绝缘层上,且绝缘层的边沿露出未被覆盖,从而保证第一导电材料层与漏极之间的绝缘效果。In a specific arrangement, the drain portion is covered on the insulating layer, and the edge of the insulating layer is exposed uncovered, thereby ensuring an insulating effect between the first conductive material layer and the drain.
在一个具体实施方式中,所述第一导电材料层与所述第二导电材料层层叠设置且部分交错,所述第二导电材料层部分覆盖在所述第一材料层;所述第一导电材料层上设置有绝缘层,所述源极通过所述绝缘层与所述第二导电材料层绝缘。 In a specific embodiment, the first conductive material layer and the second conductive material layer are stacked and partially staggered, and the second conductive material layer partially covers the first material layer; the first conductive layer An insulating layer is disposed on the material layer, and the source is insulated from the second conductive material layer by the insulating layer.
在一个具体实施方式中,还包括衬底,所述源极、漏极、第一导电材料层及所述第二导电材料层与所述第一导电材料层不交错的部分设置在所述衬底上并与所述衬底绝缘设置。In a specific embodiment, further including a substrate, the source, the drain, the first conductive material layer, and the portion of the second conductive material layer and the first conductive material layer not interlaced are disposed on the lining The bottom is insulated from the substrate.
在一个具体实施方式中,所述衬底上设置有隔离层,所述源极、漏极、第一导电材料层及所述第二导电材料层与所述第一导电材料层不交错的部分设置在所述隔离层。In a specific embodiment, the substrate is provided with an isolation layer, and the source, the drain, the first conductive material layer, and the second conductive material layer and the first conductive material layer are not interlaced portions. Set in the isolation layer.
在选用具体的材料时,所述二维材料可以为二硒化钨、二硒化锡或碲化钼;所述三维材料可以为:砷化铟或硅。When a specific material is selected, the two-dimensional material may be tungsten diselenide, tin diselenide or molybdenum telluride; the three-dimensional material may be: indium arsenide or silicon.
本发明实施例还提供了一种上述的隧穿晶体管的制备方法,该方法包括如下步骤:The embodiment of the invention further provides a method for fabricating the above tunneling transistor, the method comprising the following steps:
形成第一导电材料层;Forming a first conductive material layer;
在第一导电材料层上形成第二导电材料层,其中,两个导电材料层中,一个导电材料层为二维材料制作的材料层,另一个导电材料层为二维材料或三维材料制作的材料层;Forming a second conductive material layer on the first conductive material layer, wherein one of the two conductive material layers is a material layer made of a two-dimensional material, and the other conductive material layer is made of a two-dimensional material or a three-dimensional material. Material layer
形成源极,且形成的源极与第一导电材料层电连接并与第二导电材料层绝缘;Forming a source, and forming a source electrically connected to the first conductive material layer and insulated from the second conductive material layer;
形成漏极,且形成的漏极与第二导电材料层电连接并与第一导电材料层绝缘。A drain is formed, and the formed drain is electrically connected to the second conductive material layer and insulated from the first conductive material layer.
在上述实施例中,沟道材料为原子级薄的二维材料,能增强栅极对沟道的控制,采用线隧穿结构,隧穿面积大,能有效增加隧穿电流。此外,采用二种类型的异质结结构,且异质结界面势垒较小(小于0.3eV),增加了隧穿几率,提高隧穿电流。采用局域栅结构,栅极只控制异质结隧穿区域。异质结的形成简单,且该异质结无晶格失配所导致的界面缺陷,能有效抑制泄漏电流。In the above embodiment, the channel material is a two-dimensional material of a thin atomic level, which can enhance the control of the gate to the channel, adopts a line tunneling structure, has a large tunneling area, and can effectively increase the tunneling current. In addition, two types of heterojunction structures are used, and the heterojunction interface barrier is small (less than 0.3 eV), which increases the tunneling probability and increases the tunneling current. With a local gate structure, the gate only controls the heterojunction tunneling region. The formation of the heterojunction is simple, and the heterojunction has no interface defects caused by lattice mismatch, and the leakage current can be effectively suppressed.
在一个具体的设置方式中,该方法还包括:In a specific setting manner, the method further includes:
在所述第一导电材料层上形成绝缘层,且形成的绝缘层覆盖部分所述第二导电材料层;在形成漏极时,形成的漏极位于第二导电材料层上且覆盖部 分绝缘层。Forming an insulating layer on the first conductive material layer, and forming an insulating layer covering a portion of the second conductive material layer; when forming a drain, forming a drain on the second conductive material layer and covering the portion Divided into insulation layers.
在一个具体的设置方式中,该方法还包括:In a specific setting manner, the method further includes:
在所述第一导电材料层上开设凹槽,在所述凹槽内设置绝缘层;且形成的第二导电材料层覆盖部分绝缘层;在形成漏极时,形成的漏极位于第二导电材料层上且覆盖部分绝缘层。Forming a groove on the first conductive material layer, providing an insulating layer in the groove; and forming a second conductive material layer covering a portion of the insulating layer; when forming a drain, forming a drain at the second conductive The material layer is covered with a portion of the insulating layer.
在一个具体的设置方式中,该方法还包括:在第一导电材料层上形成绝缘层,且所述绝缘层覆盖部分源极。In a specific arrangement, the method further includes forming an insulating layer on the first conductive material layer, and the insulating layer covers a portion of the source.
在一个具体的设置方式中,在形成第一导电材料层之前还包括:In a specific arrangement, before forming the first conductive material layer, the method further includes:
制作衬底;Making a substrate;
在衬底上制作隔离层。An isolation layer is formed on the substrate.
在一个具体的设置方式中,该方法还包括:在第二导电材料层上形成栅介质层;在栅介质层上形成栅极金属层。In a specific arrangement, the method further includes: forming a gate dielectric layer on the second conductive material layer; and forming a gate metal layer on the gate dielectric layer.
在一个具体的设置方式中,该方法还包括:在形成所述栅介质层时,所述栅介质层部分覆盖所述第一导电材料层,且所述栅介质层覆盖在所述第一导电材料层之间的部分介于所述源极与所述第二导电材料层之间。In a specific arrangement, the method further includes: when forming the gate dielectric layer, the gate dielectric layer partially covers the first conductive material layer, and the gate dielectric layer covers the first conductive layer A portion between the material layers is between the source and the second layer of conductive material.
附图说明DRAWINGS
图1为本发明实施例提供的隧穿晶体管的结构示意图;1 is a schematic structural diagram of a tunneling transistor according to an embodiment of the present invention;
图2a~图2f为本发明实施例图1所示的隧穿晶体管的制备流程图;2a to 2f are flowcharts showing the preparation of the tunneling transistor shown in FIG. 1 according to an embodiment of the present invention;
图3为本发明实施例提供的隧穿晶体管的结构示意图;3 is a schematic structural diagram of a tunneling transistor according to an embodiment of the present invention;
图4a~图4g为本发明实施例图1所示的隧穿晶体管的制备流程图;4a to 4g are flowcharts showing the preparation of the tunneling transistor shown in FIG. 1 according to an embodiment of the present invention;
图5为本发明另一实施例提供的隧穿晶体管的结构示意图;FIG. 5 is a schematic structural diagram of a tunneling transistor according to another embodiment of the present invention; FIG.
图6a~图6f为本发明实施例图5所示的隧穿晶体管的制备流程图。6a-6f are flowcharts showing the preparation of the tunneling transistor shown in FIG. 5 according to an embodiment of the present invention.
附图标记:Reference mark:
1-第一导电材料层  11-凹槽  2-源极  3-第二导电材料层1-first conductive material layer 11-groove 2-source 3-second conductive material layer
4-栅极金属层  5-栅介质层  6-绝缘层4-gate metal layer 5-gate dielectric layer 6-insulation layer
7-漏极  8-隔离层  9-衬底 7-drain 8-isolator 9-substrate
具体实施方式detailed description
为了使本发明的目的、技术方案和优点更加清楚,下面将结合附图对本发明作进一步地详细描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。The present invention will be further described in detail with reference to the accompanying drawings, in which FIG.
如图1及图3所示,图1及图3示出了本发明实施例提供的不同结构的隧穿晶体管。As shown in FIG. 1 and FIG. 3, FIG. 1 and FIG. 3 show tunneling transistors of different structures according to embodiments of the present invention.
在图1及图3提供的隧穿晶体管中,均包括:源极2、漏极7及异质结;其中,异质结包括层叠的第一导电材料层1和第二导电材料层3,第一导电材料层1与第二导电材料层3中,一个导电材料层为二维材料制作的材料层,另一个导电材料层为二维材料或三维材料制作的材料层;In the tunneling transistors provided in FIG. 1 and FIG. 3, each includes: a source 2, a drain 7 and a heterojunction; wherein the heterojunction comprises a stacked first conductive material layer 1 and a second conductive material layer 3, In the first conductive material layer 1 and the second conductive material layer 3, one conductive material layer is a material layer made of a two-dimensional material, and the other conductive material layer is a material layer made of a two-dimensional material or a three-dimensional material;
源极2与第一导电材料层1导电连接且与第二导电材料层3绝缘,漏极7与第二导电材料层3电连接且与第一导电材料层1绝缘。The source 2 is electrically connected to the first conductive material layer 1 and insulated from the second conductive material layer 3, and the drain 7 is electrically connected to the second conductive material layer 3 and insulated from the first conductive material layer 1.
在上述实施中,采用异质结作为沟道,且该沟道材料采用二维材料,或三维材料,二维材料的表面具有原子级薄、无悬挂键等优异特点,且形成基于二维材料的异质结无晶格失配导致的界面缺陷,采用二种类型的异质结结构,且异质结界面势垒较小(小于0.3eV),增加了隧穿几率,提高隧穿电流。In the above implementation, a heterojunction is used as a channel, and the channel material is a two-dimensional material or a three-dimensional material, and the surface of the two-dimensional material has an atomic-scale thin, no dangling bond, and the like, and is formed based on a two-dimensional material. The heterojunction has no interface defects caused by lattice mismatch. Two types of heterojunction structures are used, and the heterojunction interface barrier is small (less than 0.3eV), which increases the tunneling probability and increases the tunneling current.
基于二维材料的隧穿晶体管相比于传统的隧穿晶体管具有更强的栅控、更少的界面缺陷、能有效抑制泄漏电流,更大的隧穿面积等优势,且异质结的形成简单,从而方便了隧穿晶体管的制作。Compared with traditional tunneling transistors, tunneling transistors based on two-dimensional materials have stronger gate control, fewer interface defects, can effectively suppress leakage current, have larger tunneling area, and the formation of heterojunction. Simple, which facilitates the fabrication of tunneling transistors.
在上述实施例中,隧穿晶体管具有栅介质层5及栅极金属层4,其中,栅介质层5设置在异质结上,栅极金属层4设置在栅介质层5上。能增强栅极对沟道的控制,采用线隧穿结构,隧穿面积大,能有效增加隧穿电流。采用局域栅结构,栅极只控制异质结隧穿区域。在上述实施例中,隧穿晶体管具有衬底,第一导电材料层1为隧穿晶体管的衬底。采用二维材料作为衬底,简化隧穿晶体管的结构。In the above embodiment, the tunneling transistor has a gate dielectric layer 5 and a gate metal layer 4, wherein the gate dielectric layer 5 is disposed on the heterojunction, and the gate metal layer 4 is disposed on the gate dielectric layer 5. The gate-to-channel control can be enhanced, and the line tunneling structure is adopted, and the tunneling area is large, which can effectively increase the tunneling current. With a local gate structure, the gate only controls the heterojunction tunneling region. In the above embodiment, the tunneling transistor has a substrate, and the first conductive material layer 1 is a substrate of a tunneling transistor. The use of a two-dimensional material as a substrate simplifies the structure of the tunneling transistor.
为了方便理解本实施例提供的隧穿晶体管,下面结合具体的实施例对其进行详细的描述。 In order to facilitate the understanding of the tunneling transistor provided by this embodiment, a detailed description will be given below in conjunction with a specific embodiment.
实施例1Example 1
如图1所示,在本实施例中,隧穿晶体管包括源极2、漏极7、栅极金属层4、栅介质层5、异质结。其中,该异质结作为沟道结构。在具体设置时,该异质结中的第一导电材料层1作为整个隧穿晶体管的衬底。As shown in FIG. 1, in the present embodiment, the tunneling transistor includes a source 2, a drain 7, a gate metal layer 4, a gate dielectric layer 5, and a heterojunction. Wherein, the heterojunction acts as a channel structure. In a specific arrangement, the first conductive material layer 1 in the heterojunction acts as a substrate for the entire tunneling transistor.
在具体设置时,为了避免漏极7与第一导电材料层1接触,在本实施例中的隧穿晶体管还包括一个绝缘层6,且在该绝缘层6设置时,该绝缘层6设置在第一导电材料层1上,且绝缘层6覆盖部分第二导电材料层3,从而形成一个台阶结构,漏极7在第二导电材料层3上形成时,漏极7部分覆盖在绝缘层6上,通过绝缘层6的隔离,避免了在加工时,出现漏极7与第一导电材料层1导电连通的情况,保证了漏极7与第一导电材料层1之间的隔断。In a specific arrangement, in order to prevent the drain 7 from coming into contact with the first conductive material layer 1, the tunneling transistor in this embodiment further includes an insulating layer 6, and when the insulating layer 6 is disposed, the insulating layer 6 is disposed at The first conductive material layer 1 is covered, and the insulating layer 6 covers a portion of the second conductive material layer 3 to form a step structure. When the drain electrode 7 is formed on the second conductive material layer 3, the drain electrode 7 partially covers the insulating layer 6. By the isolation of the insulating layer 6, the occurrence of the conductive connection between the drain electrode 7 and the first conductive material layer 1 during processing is avoided, and the separation between the drain electrode 7 and the first conductive material layer 1 is ensured.
在具体设置时,该二维材料(2D材料)为二硒化钨(WSe2)、二硒化锡(SnSe2)或碲化钼(MoTe2);三维材料(3D材料)为:砷化铟(InAs)或硅(Si)。且在采用二维材料制作异质结时,该二维材料为层状材料,其厚度为0.5-10nm,如:0.5nm、1nm、2nm、3nm、4nm、5nm、6nm、7nm、8nm、9nm、10nm等任意介于0.5-10nm之间的厚度。In the specific setting, the two-dimensional material (2D material) is tungsten diselenide (WSe 2), two tin selenide (SnSe 2) or molybdenum telluride (MoTe 2); three-dimensional material (3D material) to: arsenic Indium (InAs) or silicon (Si). When the heterojunction is made by using a two-dimensional material, the two-dimensional material is a layered material having a thickness of 0.5-10 nm, such as: 0.5 nm, 1 nm, 2 nm, 3 nm, 4 nm, 5 nm, 6 nm, 7 nm, 8 nm, 9 nm. Any thickness between 10 nm, such as 10 nm.
参考图2a~图2f所示,在具体制备时,该隧穿晶体管的制备方法如下:Referring to FIG. 2a to FIG. 2f, in the specific preparation, the tunneling transistor is prepared as follows:
步骤1:以InAs-WSe2异质结TFET制备为例,提供n型掺杂的InAs衬底,该衬底即为第一导电材料层1,将衬底放在35%HF:35%HCl=1:1中约2分钟去除氧化层,将CVD生长的WSe2转移至InAs衬底上,得到如图2a所示结构。Step 1: Taking the InAs-WSe 2 heterojunction TFET preparation as an example, an n-doped InAs substrate is provided, which is the first conductive material layer 1, and the substrate is placed at 35% HF: 35% HCl. The oxide layer was removed in about 1:1 at 1:1, and the CVD-grown WSe 2 was transferred onto the InAs substrate to obtain a structure as shown in Fig. 2a.
步骤2:将光刻胶旋涂于步骤1中的样品上,对其进行曝光显影,以光刻胶做掩膜,利用干法刻蚀去除暴露的WSe2,将WSe2图案化,去除光刻胶掩膜。如图2b所示,图案化的WSe2即为第二导电材料层3。Step 2: spin-coating the photoresist on the sample in step 1, exposing and developing the photoresist, using a photoresist as a mask, removing the exposed WSe 2 by dry etching, patterning the WSe 2 , and removing the light. Engraved mask. As shown in FIG. 2b, the patterned WSe 2 is the second conductive material layer 3.
步骤3:形成源极2。将光刻胶涂于经步骤2的样品上,采用光刻定义源极2区域,然后蒸镀金属Ti/Pt/Au(约5/20/30nm)形成源极2接触,使用liftoff工艺去除光刻胶。如图2c。Step 3: Form source 2. Applying a photoresist to the sample of step 2, defining the source 2 region by photolithography, then vapor-depositing the metal Ti/Pt/Au (about 5/20/30 nm) to form the source 2 contact, and removing the light using a liftoff process. Engraved. Figure 2c.
步骤4:形成绝缘层6。将光刻胶涂于经步骤3的样品上,采用光刻定义 Oxide绝缘层6,然后采用蒸镀或ALD生长氧化物绝缘层6,绝缘层6可以为氧化硅、氧化铝等绝缘材料,使用liftoff工艺去除光刻胶。得到如图2d,如图2d所示,绝缘层6部分覆盖在第二导电材料层3上。Step 4: Forming the insulating layer 6. Applying photoresist to the sample from step 3, using lithography definition The Oxide insulating layer 6 is then evaporated or ALD grown with an oxide insulating layer 6. The insulating layer 6 may be an insulating material such as silicon oxide or aluminum oxide, and the photoresist is removed using a liftoff process. As shown in Fig. 2d, as shown in Fig. 2d, the insulating layer 6 is partially covered on the second conductive material layer 3.
步骤5:制备漏极7。将光刻胶涂于经步骤4的样品上,采用光刻定义漏极7区域,然后蒸镀金属Ti/Pt/Au(约5/20/30nm)形成与WSe2相连接的漏极7接触,使用liftoff工艺去除光刻胶。得到如图2e所示样品。Step 5: Prepare the drain 7. A photoresist is applied to the sample of step 4, the drain 7 region is defined by photolithography, and then the metal Ti/Pt/Au (about 5/20/30 nm) is evaporated to form a drain 7 contact connected to WSe 2 . The photoresist is removed using a liftoff process. A sample as shown in Figure 2e was obtained.
步骤6:形成栅介质层5,在具体设置时,该栅极介质层为高K材料。具体步骤为:将光刻胶涂于经步骤5的样品上,采用光刻定义栅极区域,采用低温原子层沉积生长高K材料氧化铪(或氧化铝、氧化锆等高k材料),蒸镀栅极金属(例如Ti/Au:5/50nm),使用liftoff工艺去除光刻胶得到如图2f所示的样品,从而在栅介质层5上形成栅极金属层4。Step 6: Forming a gate dielectric layer 5 which, when specifically disposed, is a high K material. The specific steps are as follows: applying a photoresist to the sample in step 5, defining a gate region by photolithography, and growing a high-k material yttrium oxide (or high-k material such as alumina or zirconia) by low-temperature atomic layer deposition, and steaming A gate metal (for example, Ti/Au: 5/50 nm) is plated, and the photoresist is removed using a liftoff process to obtain a sample as shown in FIG. 2f, thereby forming a gate metal layer 4 on the gate dielectric layer 5.
以上步骤所实现的结构亦可采用其它类似工艺来实现,如栅介质层5与栅极金属层4的形成可采用先生长栅介质层5和栅极金属层4,然后用光刻胶做掩膜进行湿法刻蚀的方法获得。此外,氧化物沉积方式包括但不限于ALD沉积、蒸发镀膜沉积。The structure realized by the above steps can also be implemented by other similar processes. For example, the gate dielectric layer 5 and the gate metal layer 4 can be formed by using a long gate dielectric layer 5 and a gate metal layer 4, and then masked by photoresist. The film is obtained by a wet etching method. In addition, oxide deposition methods include, but are not limited to, ALD deposition, evaporation coating deposition.
实施例2Example 2
如图3所示,图3示出了本发明实施例提供的另一种隧穿晶体管的结构示意图。As shown in FIG. 3, FIG. 3 is a schematic structural diagram of another tunneling transistor according to an embodiment of the present invention.
在本实施例中,隧穿晶体管包括源极2、漏极7、栅极金属层4、栅介质层5、异质结。其中,该异质结作为沟道结构。在具体设置时,该异质结中的第一导电材料层1作为整个隧穿晶体管的衬底。且在本实施例中各个部件的材料与实施例1中的相同,在此不再详细赘述。In the present embodiment, the tunneling transistor includes a source 2, a drain 7, a gate metal layer 4, a gate dielectric layer 5, and a heterojunction. Wherein, the heterojunction acts as a channel structure. In a specific arrangement, the first conductive material layer 1 in the heterojunction acts as a substrate for the entire tunneling transistor. The material of each component in the embodiment is the same as that in the embodiment 1, and details are not described herein again.
在具体设置时,为了避免漏极7与第一导电材料层1接触,在本实施例中的隧穿晶体管还包括一个绝缘层6,且在该绝缘层6设置时,该绝缘层6设置在第一导电材料层1上,具体的,第一导电材料层1上设置有凹槽11,绝缘层6设置在凹槽11内,漏极7设置在所述第二导电材料层3上且部分覆盖绝缘层6,通过绝缘层6的隔离,避免了在加工时,出现漏极7与第一导电材 料层1导电连通的情况,保证了漏极7与第一导电材料层1之间的隔断。为了保证隔断效果,较佳的,绝缘层6的边沿露出未被漏极7覆盖,从而保证了绝缘的效果。In a specific arrangement, in order to prevent the drain 7 from coming into contact with the first conductive material layer 1, the tunneling transistor in this embodiment further includes an insulating layer 6, and when the insulating layer 6 is disposed, the insulating layer 6 is disposed at On the first conductive material layer 1, specifically, the first conductive material layer 1 is provided with a recess 11 , the insulating layer 6 is disposed in the recess 11 , and the drain 7 is disposed on the second conductive material layer 3 and partially Covering the insulating layer 6, the isolation of the insulating layer 6 prevents the drain 7 and the first conductive material from appearing during processing. In the case where the material layer 1 is electrically connected, the partition between the drain electrode 7 and the first conductive material layer 1 is ensured. In order to ensure the barrier effect, it is preferable that the edge of the insulating layer 6 is not covered by the drain 7, thereby ensuring the effect of insulation.
此外,为了提高源极2与第二导电材料层3之间的绝缘效果,本实施例提供的述栅介质层5覆盖在所述第二导电材料层3上,且所述栅介质层5部分覆盖在所述第一导电材料层1上,且所述栅介质层5覆盖在所述第一导电材料层1上的部分介于所述源极2与所述第二导电材料层3之间。即栅介质层5在设置时,一端的设置位置位于第二导电材料层3外部,从而使得栅介质层5的一端覆盖在第一导电材料层1上,即该栅介质层5的一端介于源极2与第二导电材料层3之间,从而通过栅介质层5实现源极2与第二导电材料层3之间的绝缘。提高了绝缘效果。In addition, in order to improve the insulation effect between the source 2 and the second conductive material layer 3, the gate dielectric layer 5 provided in this embodiment covers the second conductive material layer 3, and the gate dielectric layer 5 portion Covering the first conductive material layer 1 , and a portion of the gate dielectric layer 5 covering the first conductive material layer 1 is interposed between the source 2 and the second conductive material layer 3 . That is, when the gate dielectric layer 5 is disposed, the disposed position of one end is located outside the second conductive material layer 3, so that one end of the gate dielectric layer 5 covers the first conductive material layer 1, that is, one end of the gate dielectric layer 5 is interposed. Between the source 2 and the second conductive material layer 3, insulation between the source 2 and the second conductive material layer 3 is achieved by the gate dielectric layer 5. Improved insulation.
参考图4a~图4g所示,在具体制备时,该隧穿晶体管的制备方法如下:Referring to FIG. 4a to FIG. 4g, in the specific preparation, the tunneling transistor is prepared as follows:
步骤1:形成绝缘层6。提供n型掺杂的InAs衬底,该衬底即为第一导电材料层11,将光刻胶涂于InAs衬底上,采用光刻定义Oxide绝缘层6,如图4a所示,利用反应离子刻蚀(RIE)在InAs衬底上刻蚀一凹槽11。采用蒸镀或ALD生长绝缘层6,绝缘层6可以为氧化硅、氧化铝等绝缘材料,使用lift off工艺去除光刻胶。得到如图4b所示。Step 1: Forming the insulating layer 6. Providing an n-type doped InAs substrate, the substrate is a first conductive material layer 11, applying a photoresist on the InAs substrate, and defining an Oxide insulating layer 6 by photolithography, as shown in FIG. 4a, using the reaction Ion etching (RIE) etches a recess 11 on the InAs substrate. The insulating layer 6 may be an insulating material such as silicon oxide or aluminum oxide by vapor deposition or ALD growth, and the photoresist may be removed by a lift off process. This is obtained as shown in Figure 4b.
步骤2:转移第二导电材料层3。将衬底放在35%HF:35%HCl=1:1中约2分钟去除氧化层,将CVD生长的WSe2转移至InAs衬底上。得到如图4c所示结构。Step 2: Transfer the second conductive material layer 3. The oxide layer was removed by placing the substrate in 35% HF: 35% HCl = 1:1 for about 2 minutes, and the CVD-grown WSe2 was transferred onto the InAs substrate. The structure shown in Figure 4c is obtained.
步骤3:将光刻胶旋涂于步骤2中的样品上,对其进行曝光显影,以光刻胶做掩膜,利用干法刻蚀去除暴露的WSe2,将WSe2图案化,去除光刻胶掩膜。如图4d所示。Step 3: spin-coating the photoresist on the sample in step 2, exposing and developing the photoresist, using a photoresist as a mask, removing the exposed WSe2 by dry etching, patterning the WSe2, and removing the photoresist. Mask. As shown in Figure 4d.
步骤4:形成源漏极7。将光刻胶涂于经步骤3的样品上,采用光刻定义源漏极7区域,然后蒸镀金属Ti/Pt/Au(约5/20/30nm)形成源漏极7接触,使用lift off工艺去除光刻胶。如图4e所示。Step 4: Forming the source and drain electrodes 7. Applying a photoresist to the sample of step 3, defining the source and drain 7 regions by photolithography, and then vapor-depositing the metal Ti/Pt/Au (about 5/20/30 nm) to form source-drain 7 contacts, using lift off The process removes the photoresist. As shown in Figure 4e.
步骤5:形成栅介质层5。将光刻胶涂于经步骤4的样品上,采用光刻定 义栅极区域。如图4f所示,采用低温原子层沉积生长高k栅介质氧化铪(或氧化铝、氧化锆等高k材料),蒸镀栅极金属层4(例如Ti/Au:5/50nm),使用lift off工艺去除光刻胶得到如图4g所示的样品。Step 5: Forming the gate dielectric layer 5. Applying a photoresist to the sample from step 4, using photolithography The gate area. As shown in FIG. 4f, a high-k gate dielectric yttrium oxide (or a high-k material such as alumina or zirconia) is grown by low-temperature atomic layer deposition, and a gate metal layer 4 (for example, Ti/Au: 5/50 nm) is evaporated and used. The lift off process removes the photoresist to give a sample as shown in Figure 4g.
继续参考图4f,在生产栅介质层5时,栅介质层5的一端覆盖在第一导电材料层1上,且介于第二导电材料层3与源极2之间。With continued reference to FIG. 4f, at the time of producing the gate dielectric layer 5, one end of the gate dielectric layer 5 covers the first conductive material layer 1 and is interposed between the second conductive material layer 3 and the source 2.
以上步骤所实现的结构亦可采用其它类似工艺来实现,如栅介质层5与栅极金属层4的形成可采用先生长栅介质和栅金属,然后用光刻胶做掩膜进行湿法刻蚀的方法获得。The structure realized by the above steps can also be implemented by other similar processes. For example, the formation of the gate dielectric layer 5 and the gate metal layer 4 can be performed by using a photoresist and a gate metal, and then using a photoresist as a mask for wet etching. The method of etching is obtained.
实施例3Example 3
如图5所述,图5示出了本发明实施例提供的另一种隧穿晶体管的结构示意图。As shown in FIG. 5, FIG. 5 is a schematic structural diagram of another tunneling transistor according to an embodiment of the present invention.
在本实施例中,隧穿晶体管包括源极2、漏极7、栅极金属层4、栅介质层5、异质结、衬底9、隔离层8;其中,该异质结作为沟道结构。In this embodiment, the tunneling transistor includes a source 2, a drain 7, a gate metal layer 4, a gate dielectric layer 5, a heterojunction, a substrate 9, and an isolation layer 8; wherein the heterojunction serves as a channel structure.
如图5所示,在本实施例中,隧穿晶体管包含一个衬底9,该衬底9采用硅衬底9,源极2、漏极7、第一导电材料层1及第二导电材料层3与第一导电材料层1不交错的部分设置在衬底9上并与衬底9绝缘设置。从而使得衬底9与异质结之间绝缘,为了实现上述绝缘,在衬底9上形成了隔离层8,上述结构形成在该隔离层8上,在具体设置时,该隔离层8为氧化硅。As shown in FIG. 5, in the present embodiment, the tunneling transistor includes a substrate 9 using a silicon substrate 9, a source 2, a drain 7, a first conductive material layer 1, and a second conductive material. A portion of the layer 3 that is not interlaced with the first conductive material layer 1 is disposed on the substrate 9 and insulated from the substrate 9. Thereby, the substrate 9 is insulated from the heterojunction. In order to achieve the above insulation, an isolation layer 8 is formed on the substrate 9, and the above structure is formed on the isolation layer 8, and the isolation layer 8 is oxidized in a specific arrangement. silicon.
在具体设置时,第一导电材料层1与第二导电材料层3层叠设置且部分交错,第二导电材料层3部分覆盖在第一材料层;第一导电材料层1上设置有绝缘层6,源极2通过绝缘层6与第二导电材料层3绝缘。In a specific arrangement, the first conductive material layer 1 and the second conductive material layer 3 are stacked and partially staggered, and the second conductive material layer 3 partially covers the first material layer; the first conductive material layer 1 is provided with the insulating layer 6 The source 2 is insulated from the second conductive material layer 3 by the insulating layer 6.
为了方便理解上述结构,下面结合附图6a~图6f详细说明下该隧穿晶体管的制备方法。In order to facilitate the understanding of the above structure, a method of fabricating the tunneling transistor will be described in detail below with reference to FIGS. 6a to 6f.
步骤1:提供生长在目标衬底9上的WSe2材料,或将生长好的WSe2材料转移至目标衬底9上的隔离层8上,然后利用和实施例1类似的方法对WSe2进行刻蚀图案化。得到如图6a所示结果。Step 1: Providing WSe 2 material grown on the target substrate 9, or transferring the grown WSe 2 material onto the isolation layer 8 on the target substrate 9, and then performing WSe 2 by a method similar to that of Embodiment 1. Etching patterning. The result shown in Figure 6a is obtained.
步骤2:制备源极2。将光刻胶涂于经步骤1的样品上,采用光刻定义源 极2区域,然后蒸镀金属Ti/Pt/Au(约5/20/30nm)形成源极2接触,使用liftoff工艺去除光刻胶。如图6b。Step 2: Prepare source 2. Applying a photoresist to the sample from step 1, using lithography to define the source The pole 2 region is then vapor-deposited with metal Ti/Pt/Au (about 5/20/30 nm) to form a source 2 contact, which is removed using a liftoff process. Figure 6b.
步骤3:形成绝缘层6。将光刻胶涂于经步骤2的样品上,采用光刻定义Oxide绝缘层6,然后采用蒸镀或ALD生长氧化物绝缘层6,绝缘层6可以为氧化硅、氧化铝等绝缘材料,使用lift off工艺去除光刻胶。得到如图6c所示,该绝缘层6的一部分覆盖在源极2上,另一部分覆盖在第一导电材料层1上。Step 3: Forming the insulating layer 6. The photoresist is applied to the sample of step 2, the Oxide insulating layer 6 is defined by photolithography, and then the oxide insulating layer 6 is grown by evaporation or ALD. The insulating layer 6 may be an insulating material such as silicon oxide or aluminum oxide. The lift off process removes the photoresist. As shown in Fig. 6c, a portion of the insulating layer 6 covers the source 2 and another portion overlies the first conductive material layer 1.
步骤4:转移SnSe2材料。将生长的SnSe2材料转移至衬底9上。并采用前述刻蚀工艺对SnSe2进行图案化。得到如图6d所示结果,该步骤为生成第二导电材料层3的步骤,如图6d所示,生成的第二导电材料层3部分覆盖在第一导电材料层1上,部分覆盖在隔离层8上,从而使得第一导电材料层1与第二导电材料层3层叠设置且部分交错的结构,并且如图6d所示,形成的第二导电材料层3与源极2之间间隔着绝缘层6,从而避免在加工时出现源极2与第二导电材料层3导通的情况。Step 4: Transfer the SnSe 2 material. The grown SnSe 2 material is transferred onto the substrate 9. SnSe 2 is patterned using the aforementioned etching process. As shown in FIG. 6d, the step is a step of generating a second conductive material layer 3, as shown in FIG. 6d, the generated second conductive material layer 3 partially covers the first conductive material layer 1, partially covered in isolation. On the layer 8, such that the first conductive material layer 1 and the second conductive material layer 3 are stacked and partially staggered, and as shown in FIG. 6d, the formed second conductive material layer 3 and the source 2 are spaced apart The insulating layer 6 prevents the source 2 and the second conductive material layer 3 from being turned on during processing.
步骤5:形成漏极7。将光刻胶涂于经步骤4的样品上,采用光刻定义漏极7区域,然后蒸镀金属Ti/Pt/Au(约5/20/30nm)形成与SnSe2相连接的漏极7接触,使用liftoff工艺去除光刻胶。得到如图6e所示样品。Step 5: Form the drain 7. Applying a photoresist to the sample of step 4, defining a drain 7 region by photolithography, and then vapor-depositing the metal Ti/Pt/Au (about 5/20/30 nm) to form a drain 7 contact connected to SnSe2. The photoresist is removed using a liftoff process. A sample as shown in Figure 6e was obtained.
步骤6:形成栅介质层5,该栅介质为高k材料。将光刻胶涂于经步骤5的样品上,采用光刻定义栅极区域,采用低温原子层沉积生长高k材料如:HfO2、Al2O3、ZrO2、Y2O3等高k材料,蒸镀栅极金属(例如Ti/Au:5/50nm),使用lift off工艺去除光刻胶得到如图6f所示的样品。Step 6: Form a gate dielectric layer 5 which is a high-k material. Applying a photoresist to the sample of step 5, defining a gate region by photolithography, and growing a high-k material such as HfO 2 , Al 2 O 3 , ZrO 2 , Y 2 O 3 , etc. by low-temperature atomic layer deposition. Material, vapor-deposited gate metal (eg Ti/Au: 5/50 nm), the photoresist was removed using a lift off process to obtain a sample as shown in Figure 6f.
以上步骤所实现的结构亦可采用其它类似工艺来实现,如栅介质层5与栅极金属层4的形成可采用先生长栅介质层5和栅极金属层4,然后用光刻胶做掩膜进行湿法刻蚀的方法获得。此外,氧化物沉积方式包括但不限于ALD沉积、蒸发镀膜沉积。The structure realized by the above steps can also be implemented by other similar processes. For example, the gate dielectric layer 5 and the gate metal layer 4 can be formed by using a long gate dielectric layer 5 and a gate metal layer 4, and then masked by photoresist. The film is obtained by a wet etching method. In addition, oxide deposition methods include, but are not limited to, ALD deposition, evaporation coating deposition.
通过上述实施例1及实施例2的描述可以看出,本实施例提供的隧穿晶体管采用异质结,该异质结可以为异质结由2D材料和2D材料或2D材料和3D材料构成,沟道材料由局域栅控制。但应当理解的是,本实施例提供的异 质结的材料不仅限于上述材料,还可以采用如下材料:It can be seen from the descriptions of Embodiment 1 and Embodiment 2 that the tunneling transistor provided in this embodiment uses a heterojunction, which may be a heterojunction composed of 2D material and 2D material or 2D material and 3D material. The channel material is controlled by a local gate. However, it should be understood that the difference provided by this embodiment The material of the knot is not limited to the above materials, but the following materials can also be used:
对于2D-3D型异质结TFET,其异质结材料组成为InAs-WSe2、SnSe2-Si、MoTe2-InAs等。For 2D-3D heterojunction TFET, which heterostructure composed of InAs-WSe 2, SnSe 2 -Si , MoTe 2 -InAs like.
对于2D-2D型异质结TFET,其异质结材料组成为WSe2-SnSe2、SnSe2-MoSe2、SnSe2-MoTe2等。For 2D-2D heterojunction TFET, which heterostructure composition WSe 2 -SnSe 2, SnSe 2 -MoSe 2, SnSe 2 -MoTe 2 and the like.
此外,由上述具体的实施例1及实施例2可以看出,本发明实施例还提供了一种上述的隧穿晶体管的制备方法,该方法包括如下步骤:In addition, as can be seen from the foregoing specific embodiment 1 and the second embodiment, the embodiment of the present invention further provides a method for fabricating the tunneling transistor described above, the method comprising the following steps:
形成第一导电材料层1;Forming a first conductive material layer 1;
在第一导电材料层1上形成第二导电材料层3,其中,两个导电材料层中,一个导电材料层为二维材料制作的材料层,另一个导电材料层为二维材料或三维材料制作的材料层;Forming a second conductive material layer 3 on the first conductive material layer 1, wherein one of the two conductive material layers is a material layer made of a two-dimensional material, and the other conductive material layer is a two-dimensional material or a three-dimensional material. The layer of material produced;
形成源极2,且形成的源极2与第一导电材料层1电连接并与第二导电材料层3绝缘;Forming the source 2, and the formed source 2 is electrically connected to the first conductive material layer 1 and insulated from the second conductive material layer 3;
形成漏极7,且形成的漏极7与第二导电材料层3电连接并与第一导电材料层1绝缘。The drain electrode 7 is formed, and the formed drain electrode 7 is electrically connected to the second conductive material layer 3 and insulated from the first conductive material layer 1.
在上述技术方案中,沟道材料为原子级薄的二维材料,能增强栅极对沟道的控制,采用线隧穿结构,隧穿面积大,能有效增加隧穿电流。此外,采用二种类型的异质结结构,且异质结界面势垒较小(小于0.3eV),增加了隧穿几率,提高隧穿电流。采用局域栅结构,栅极只控制异质结隧穿区域。异质结的形成简单,且该异质结无晶格失配所导致的界面缺陷,能有效抑制泄漏电流。In the above technical solution, the channel material is a two-dimensional material with a thin atomic level, which can enhance the control of the gate to the channel, adopts a line tunneling structure, has a large tunneling area, and can effectively increase the tunneling current. In addition, two types of heterojunction structures are used, and the heterojunction interface barrier is small (less than 0.3 eV), which increases the tunneling probability and increases the tunneling current. With a local gate structure, the gate only controls the heterojunction tunneling region. The formation of the heterojunction is simple, and the heterojunction has no interface defects caused by lattice mismatch, and the leakage current can be effectively suppressed.
针对实施例1中的隧穿晶体管时,由实施例1中制备方法的描述可以看出,该方法中还包括:For the tunneling transistor in Embodiment 1, it can be seen from the description of the preparation method in Embodiment 1, the method further includes:
在第一导电材料层1上形成绝缘层6,且形成的绝缘层6覆盖部分第二导电材料层3;Forming an insulating layer 6 on the first conductive material layer 1, and forming an insulating layer 6 covering a portion of the second conductive material layer 3;
在形成漏极7时,形成的漏极7位于第二导电材料层3上且覆盖部分绝缘层6。 When the drain electrode 7 is formed, the drain electrode 7 formed is located on the second conductive material layer 3 and covers a portion of the insulating layer 6.
在第二导电材料层3上形成栅介质层5;Forming a gate dielectric layer 5 on the second conductive material layer 3;
在栅介质层5上形成栅极金属层4。A gate metal layer 4 is formed on the gate dielectric layer 5.
针对实施例2中的隧穿晶体管时,由实施例2中制备方法的描述可以看出,该方法中还包括:For the tunneling transistor in Embodiment 2, as can be seen from the description of the preparation method in Embodiment 2, the method further includes:
在所述第一导电材料层上开设凹槽,在所述凹槽内设置绝缘层;且形成的第二导电材料层覆盖部分绝缘层;Forming a groove on the first conductive material layer, providing an insulating layer in the groove; and forming a second conductive material layer covering a portion of the insulating layer;
在形成漏极时,形成的漏极位于第二导电材料层上且覆盖部分绝缘层。When the drain is formed, the formed drain is on the second conductive material layer and covers a portion of the insulating layer.
在第二导电材料层3上形成栅介质层5;Forming a gate dielectric layer 5 on the second conductive material layer 3;
在栅介质层5上形成栅极金属层4;Forming a gate metal layer 4 on the gate dielectric layer 5;
在形成所述栅介质层5时,所述栅介质层5部分覆盖所述第一导电材料层1,且所述栅介质层5覆盖在所述第一导电材料层1之间的部分介于所述源极2与所述第二导电材料层3之间。When the gate dielectric layer 5 is formed, the gate dielectric layer 5 partially covers the first conductive material layer 1, and the portion of the gate dielectric layer 5 covering the first conductive material layer 1 is interposed The source 2 is between the second conductive material layer 3.
针对实施例3中的隧穿晶体管时,由实施例3中制备方法的描述可以看出,该方法中还包括:在形成第一导电材料层1之前还包括:For the tunneling transistor in Embodiment 3, it can be seen from the description of the preparation method in Embodiment 3 that the method further includes: before forming the first conductive material layer 1 further comprising:
制作衬底;Making a substrate;
在衬底上制作绝缘层6。An insulating layer 6 is formed on the substrate.
在第一导电材料层1上形成绝缘层6,且绝缘层6覆盖部分源极2。An insulating layer 6 is formed on the first conductive material layer 1, and the insulating layer 6 covers a part of the source 2.
在第二导电材料层3上形成栅介质层5;Forming a gate dielectric layer 5 on the second conductive material layer 3;
在栅介质层5上形成栅极金属层4。A gate metal layer 4 is formed on the gate dielectric layer 5.
在上述具体的制备步骤中在实施例1、实施例2及实施例3中详细的进行了描述,在此不再详细赘述。In the above specific preparation steps, the details are described in the first embodiment, the second embodiment and the third embodiment, and will not be described in detail herein.
显然,本领域的技术人员可以对本发明进行各种改动和变型而不脱离本发明的精神和范围。这样,倘若本发明的这些修改和变型属于本发明权利要求及其等同技术的范围之内,则本发明也意图包含这些改动和变型在内。 It is apparent that those skilled in the art can make various modifications and variations to the invention without departing from the spirit and scope of the invention. Thus, it is intended that the present invention cover the modifications and modifications of the invention

Claims (17)

  1. 一种隧穿晶体管,其特征在于,包括:源极、漏极及异质结;其中,所述异质结包括层叠的第一导电材料层和第二导电材料层,所述第一导电材料层与所述第二导电材料层中,一个导电材料层为二维材料制作的材料层,另一个导电材料层为二维材料或三维材料制作的材料层;A tunneling transistor, comprising: a source, a drain, and a heterojunction; wherein the heterojunction comprises a stacked first conductive material layer and a second conductive material layer, the first conductive material In the layer and the second conductive material layer, one conductive material layer is a material layer made of a two-dimensional material, and the other conductive material layer is a material layer made of a two-dimensional material or a three-dimensional material;
    所述源极与所述第一导电材料层导电连接且与所述第二导电材料层绝缘,所述漏极与所述第二导电材料层电连接且与所述第一导电材料层绝缘。The source is electrically connected to the first conductive material layer and insulated from the second conductive material layer, and the drain is electrically connected to the second conductive material layer and insulated from the first conductive material layer.
  2. 如权利要求1所述的隧穿晶体管,其特征在于,还包括栅介质层及栅极金属层,其中,所述栅介质层设置在所述异质结上,所述栅极金属层设置在所述栅介质层上。The tunneling transistor of claim 1 further comprising a gate dielectric layer and a gate metal layer, wherein the gate dielectric layer is disposed on the heterojunction, and the gate metal layer is disposed on On the gate dielectric layer.
  3. 如权利要求2所述的隧穿晶体管,其特征在于,所述栅介质层覆盖在所述第二导电材料层上,且所述栅介质层部分覆盖在所述第一导电材料层上,且所述栅介质层覆盖在所述第一导电材料层上的部分介于所述源极与所述第二导电材料层之间。The tunneling transistor of claim 2, wherein the gate dielectric layer covers the second conductive material layer, and the gate dielectric layer partially covers the first conductive material layer, and A portion of the gate dielectric layer overlying the first conductive material layer is interposed between the source and the second conductive material layer.
  4. 如权利要求1~3任一项所述的隧穿晶体管,其特征在于,还包括衬底,所述第一导电材料层为所述隧穿晶体管的衬底。A tunneling transistor according to any one of claims 1 to 3, further comprising a substrate, said first conductive material layer being a substrate of said tunneling transistor.
  5. 如权利要求4所述的隧穿晶体管,其特征在于,还包括设置在所述第一导电材料层上的绝缘层,且所述绝缘层覆盖部分第二导电材料层,所述漏极通过所述绝缘层与所述第一导电材料层绝缘。A tunneling transistor according to claim 4, further comprising an insulating layer disposed on said first conductive material layer, said insulating layer covering a portion of said second conductive material layer, said drain passing through The insulating layer is insulated from the first conductive material layer.
  6. 如权利要求4所述的隧穿晶体管,其特征在于,还包括绝缘层,所述第一导电材料层上设置有凹槽,所述绝缘层设置在所述凹槽内,所述漏极设置在所述绝缘层上且部分覆盖在所述第二导电材料层。A tunneling transistor according to claim 4, further comprising an insulating layer, said first conductive material layer being provided with a recess, said insulating layer being disposed in said recess, said drain setting On the insulating layer and partially covering the second conductive material layer.
  7. 如权利要求1或2所述的隧穿晶体管,其特征在于,所述第一导电材料层与所述第二导电材料层层叠设置且部分交错,所述第二导电材料层部分覆盖在所述第一材料层;所述第一导电材料层上设置有绝缘层,所述源极通过所述绝缘层与所述第二导电材料层绝缘。 The tunneling transistor according to claim 1 or 2, wherein the first conductive material layer and the second conductive material layer are stacked and partially staggered, and the second conductive material layer partially covers the a first material layer; an insulating layer disposed on the first conductive material layer, wherein the source is insulated from the second conductive material layer by the insulating layer.
  8. 如权利要求7所述的隧穿晶体管,其特征在于,还包括衬底,所述源极、漏极、第一导电材料层及所述第二导电材料层与所述第一导电材料层不交错的部分设置在所述衬底上并与所述衬底绝缘设置。The tunneling transistor of claim 7 further comprising a substrate, said source, drain, first conductive material layer and said second conductive material layer and said first conductive material layer not Interleaved portions are disposed on the substrate and insulated from the substrate.
  9. 如权利要求8所述的隧穿晶体管,其特征在于,所述衬底上设置有隔离层,所述源极、漏极、第一导电材料层及所述第二导电材料层与所述第一导电材料层不交错的部分设置在所述隔离层。The tunneling transistor of claim 8 , wherein the substrate is provided with an isolation layer, the source, the drain, the first conductive material layer and the second conductive material layer and the first A portion of the conductive material layer that is not staggered is disposed on the isolation layer.
  10. 如权利要求1~9任一项所述的隧穿晶体管,其特征在于,所述二维材料为二硒化钨、二硒化锡或碲化钼;所述三维材料为:砷化铟或硅。The tunneling transistor according to any one of claims 1 to 9, wherein the two-dimensional material is tungsten diselenide, tin diselenide or molybdenum telluride; and the three-dimensional material is: indium arsenide or silicon.
  11. 一种隧穿晶体管的制备方法,其特征在于,包括如下步骤:A method for fabricating a tunneling transistor, comprising the steps of:
    形成第一导电材料层;Forming a first conductive material layer;
    在第一导电材料层上形成第二导电材料层,其中,两个导电材料层中,一个导电材料层为二维材料制作的材料层,另一个导电材料层为二维材料或三维材料制作的材料层;Forming a second conductive material layer on the first conductive material layer, wherein one of the two conductive material layers is a material layer made of a two-dimensional material, and the other conductive material layer is made of a two-dimensional material or a three-dimensional material. Material layer
    形成源极,且形成的源极与第一导电材料层电连接并与第二导电材料层绝缘;Forming a source, and forming a source electrically connected to the first conductive material layer and insulated from the second conductive material layer;
    形成漏极,且形成的漏极与第二导电材料层电连接并与第一导电材料层绝缘。A drain is formed, and the formed drain is electrically connected to the second conductive material layer and insulated from the first conductive material layer.
  12. 如权利要求11所述的制备方法,其特征在于,还包括:The preparation method according to claim 11, further comprising:
    在所述第一导电材料层上形成绝缘层,且形成的绝缘层覆盖部分所述第二导电材料层;Forming an insulating layer on the first conductive material layer, and forming an insulating layer covering a portion of the second conductive material layer;
    在形成漏极时,形成的漏极位于第二导电材料层上且覆盖部分绝缘层。When the drain is formed, the formed drain is on the second conductive material layer and covers a portion of the insulating layer.
  13. 如权利要求11所述的制备方法,其特征在于,还包括:The preparation method according to claim 11, further comprising:
    在所述第一导电材料层上开设凹槽,在所述凹槽内设置绝缘层;且形成的第二导电材料层覆盖部分绝缘层;Forming a groove on the first conductive material layer, providing an insulating layer in the groove; and forming a second conductive material layer covering a portion of the insulating layer;
    在形成漏极时,形成的漏极位于第二导电材料层上且覆盖部分绝缘层。When the drain is formed, the formed drain is on the second conductive material layer and covers a portion of the insulating layer.
  14. 如权利要求11所述的制备方法,其特征在于,还包括:The preparation method according to claim 11, further comprising:
    在第一导电材料层上形成绝缘层,且所述绝缘层覆盖部分源极。 An insulating layer is formed on the first conductive material layer, and the insulating layer covers a portion of the source.
  15. 如权利要求14所述的制备方法,其特征在于,在形成第一导电材料层之前还包括:The method according to claim 14, further comprising: before forming the first conductive material layer:
    制作衬底;Making a substrate;
    在衬底上制作隔离层。An isolation layer is formed on the substrate.
  16. 如权利要求11~15任一项所述的制备方法,其特征在于,还包括:The preparation method according to any one of claims 11 to 15, further comprising:
    在第二导电材料层上形成栅介质层;Forming a gate dielectric layer on the second conductive material layer;
    在栅介质层上形成栅极金属层。A gate metal layer is formed on the gate dielectric layer.
  17. 如权利要求16所述的制备方法,其特征在于,在形成所述栅介质层时,所述栅介质层部分覆盖所述第一导电材料层,且所述栅介质层覆盖在所述第一导电材料层之间的部分介于所述源极与所述第二导电材料层之间。 The method according to claim 16, wherein when the gate dielectric layer is formed, the gate dielectric layer partially covers the first conductive material layer, and the gate dielectric layer covers the first A portion between the layers of electrically conductive material is interposed between the source and the second layer of electrically conductive material.
PCT/CN2016/106986 2016-11-23 2016-11-23 Tunneling transistor and preparation method therefor WO2018094619A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201680085756.8A CN109155333B (en) 2016-11-23 2016-11-23 Tunneling transistor and preparation method thereof
PCT/CN2016/106986 WO2018094619A1 (en) 2016-11-23 2016-11-23 Tunneling transistor and preparation method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2016/106986 WO2018094619A1 (en) 2016-11-23 2016-11-23 Tunneling transistor and preparation method therefor

Publications (1)

Publication Number Publication Date
WO2018094619A1 true WO2018094619A1 (en) 2018-05-31

Family

ID=62194524

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2016/106986 WO2018094619A1 (en) 2016-11-23 2016-11-23 Tunneling transistor and preparation method therefor

Country Status (2)

Country Link
CN (1) CN109155333B (en)
WO (1) WO2018094619A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103026491A (en) * 2010-07-06 2013-04-03 香港科技大学 Normally-off III-nitride metal-2DEG tunnel junction field-effect transistors
CN103579324A (en) * 2013-11-18 2014-02-12 北京大学 Three-face-source tunneling field effect transistor and manufacturing method thereof
CN106098768A (en) * 2015-04-30 2016-11-09 台湾积体电路制造股份有限公司 Staggered tunneling field-effect transistor

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101919425B1 (en) * 2012-10-09 2018-11-19 삼성전자주식회사 Tunneling field effect transistor including graphene channel
CN105742345A (en) * 2016-03-09 2016-07-06 复旦大学 Tunneling field-effect transistor and preparation method therefor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103026491A (en) * 2010-07-06 2013-04-03 香港科技大学 Normally-off III-nitride metal-2DEG tunnel junction field-effect transistors
CN103579324A (en) * 2013-11-18 2014-02-12 北京大学 Three-face-source tunneling field effect transistor and manufacturing method thereof
CN106098768A (en) * 2015-04-30 2016-11-09 台湾积体电路制造股份有限公司 Staggered tunneling field-effect transistor

Also Published As

Publication number Publication date
CN109155333B (en) 2022-06-14
CN109155333A (en) 2019-01-04

Similar Documents

Publication Publication Date Title
US9209271B1 (en) Vertical III-V nanowire field-effect transistor using nanosphere lithography
TW501173B (en) Semiconductor device and method of fabricating the same
CN103329244B (en) There is the graphene device of local double grid
US8106440B2 (en) Selective high-k dielectric film deposition for semiconductor device
US9040364B2 (en) Carbon nanotube devices with unzipped low-resistance contacts
US10872973B2 (en) Semiconductor structures with two-dimensional materials
US9716178B2 (en) Fin field effect transistor and fabricating method thereof
US11437482B2 (en) Field effect transistor, method of fabricating field effect transistor, and electronic device
TW202002283A (en) Method for manufacturing semiconductor device
RU2504861C1 (en) Method of making field-effect nanotransistor with schottky contacts with short nanometre-length control electrode
CN109690786B (en) Heterojunction tunneling field effect transistor and preparation method thereof
CN107644906B (en) Black phosphorus field effect transistor and manufacturing method thereof
US11309425B2 (en) Field effect transistor having source control electrode, manufacturing method thereof and electronic device
WO2018094619A1 (en) Tunneling transistor and preparation method therefor
CN107342320B (en) Junction-free tunneling field effect transistor and preparation method thereof
Jönsson et al. Balanced drive currents in 10–20 nm diameter nanowire All-III-V CMOS on Si
CN110491940B (en) Nanowire transistor based on resonant tunneling and preparation method thereof
US11522076B2 (en) Field effect transistor, method of fabricating field effect transistor, and electronic device
US20200083333A1 (en) Forming semiconductor structures with semimetal features
WO2018099306A1 (en) Tfet-integrated finfet device and preparation method therefor
KR101794714B1 (en) Semiconductor device and the method thereof
CN110088912B (en) Tunneling field effect transistor and manufacturing method thereof
CN220172135U (en) Transition metal sulfide vertical field effect transistor
CN114068703B (en) Transistor and preparation method
WO2021227296A1 (en) Transistor and method for fabricating the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16922083

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16922083

Country of ref document: EP

Kind code of ref document: A1