WO2017087899A1 - Fully molded miniaturized semiconductor module - Google Patents

Fully molded miniaturized semiconductor module Download PDF

Info

Publication number
WO2017087899A1
WO2017087899A1 PCT/US2016/062940 US2016062940W WO2017087899A1 WO 2017087899 A1 WO2017087899 A1 WO 2017087899A1 US 2016062940 W US2016062940 W US 2016062940W WO 2017087899 A1 WO2017087899 A1 WO 2017087899A1
Authority
WO
WIPO (PCT)
Prior art keywords
smd
semiconductor die
semiconductor
layer
land pads
Prior art date
Application number
PCT/US2016/062940
Other languages
English (en)
French (fr)
Inventor
Christopher M. SCANLAN
Timothy L. OLSON
Original Assignee
Deca Technologies Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/354,447 external-priority patent/US9831170B2/en
Application filed by Deca Technologies Inc. filed Critical Deca Technologies Inc.
Priority to KR1020187016456A priority Critical patent/KR102127774B1/ko
Priority to CN201680067827.1A priority patent/CN108307661B/zh
Publication of WO2017087899A1 publication Critical patent/WO2017087899A1/en
Priority to HK18116103.0A priority patent/HK1256963A1/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Definitions

  • the disclosure relates to fully molded semiconductor packages, and more particularly to fully molded fan-out miniaturized modules, fully molded fan-out modules (FMFOM), or miniaturized modules (hereinafter “module” or “modules”).
  • the modules can comprise a plurality of integrated semiconductor devices for wearable technology, for the internet-of- things (IoT) devices, or both.
  • IoT internet-of- things
  • Discrete semiconductor devices vary in the number and density of electrical components. Discrete semiconductor devices generally contain one type of electrical component, for example, light emitting diode (LED), small signal transistor, resistor, capacitor, inductor, and power metal oxide semiconductor field effect transistor (MOSFET). Integrated semiconductor devices typically contain hundreds to millions of electrical components. Examples of integrated semiconductor devices include microcontrollers, microprocessors, charged-coupled devices (CCDs), solar cells, and digital micro-mirror devices (DMDs).
  • LED light emitting diode
  • MOSFET power metal oxide semiconductor field effect transistor
  • Semiconductor devices perform a wide range of functions such as signal processing, high-speed calculations, transmitting and receiving electromagnetic signals, controlling electronic devices, transforming sunlight to electricity, and creating visual projections for television displays. Semiconductor devices are found in the fields of entertainment,
  • Semiconductor devices are also found in military applications, aviation, automotive, industrial controllers, and office equipment.
  • Semiconductor devices exploit the electrical properties of semiconductor materials.
  • the atomic structure of semiconductor material allows its electrical conductivity to be manipulated by the application of an electric field or base current or through the process of doping. Doping introduces impurities into the semiconductor material to manipulate and control the conductivity of the semiconductor device.
  • a semiconductor device contains active and passive electrical structures.
  • Active structures including bipolar and field effect transistors, control the flow of electrical current. By varying levels of doping and application of an electric field or base current, the transistor either promotes or restricts the flow of electrical current.
  • Passive structures including resistors, capacitors, and inductors, create a relationship between voltage and current necessary to perform a variety of electrical functions.
  • the passive and active structures are electrically connected to form circuits, which enable the semiconductor device to perform high-speed calculations and other useful functions.
  • Front-end manufacturing involves the formation of a plurality of semiconductor die on the surface of a semiconductor wafer.
  • Each semiconductor die is typically designed to be identical and contains circuits formed by electrically connecting active and passive components.
  • Back-end manufacturing involves singulating individual semiconductor die from the finished wafer and packaging the die to provide structural support and environmental isolation.
  • semiconductor die refers to both the singular and plural form of the words, and accordingly can refer to both a single semiconductor device and multiple semiconductor devices.
  • One goal of semiconductor manufacturing is to produce smaller semiconductor devices. Smaller devices typically consume less power, have higher performance, and can be produced more efficiently. In addition, smaller semiconductor devices have a smaller footprint, which is desirable for smaller end products.
  • a smaller semiconductor die size can be achieved by improvements in the front-end process resulting in semiconductor die with smaller, higher density active and passive components. Back-end processes may result in semiconductor device packages with a smaller footprint by improvements in electrical interconnection and packaging materials.
  • Back-end processing of semiconductor die includes a number of surface mount technologies (SMT) that are used to connect semiconductor die or integrated circuits to surfaces of substrates and PCBs without the use of through holes in the PCBs.
  • Quad Flat Packages use SMT that includes leads that extend from each of the four sides of the package, sometimes referred to as "gull wing leads.”
  • QFP leads provide electrical Input/Output (I/O) interconnection between the semiconductor die within the package and the PCB or substrate to which the QFP is mounted.
  • Other SMT packages are made without leads and are commonly referred to flat no lead packages. Examples of flat no lead packages are Quad-flat no leads packages (QFNs ) and dual-flat no lead (DFN) packages.
  • QFN packages conventionally include a semiconductor die connected by wirebonds to a leadframe that is used for package I/O interconnection.
  • a semiconductor module can comprise a fully molded base portion comprising a planar surface that further comprises a semiconductor die comprising contact pads, conductive pillars coupled to the contact pads and extending to the planar surface, and an encapsulant material disposed over the active surface, four side surfaces, and around the conductive pillars, wherein ends of the conductive pillars are exposed from the encapsulant material at the planar surface of the fully molded base portion.
  • a build-up interconnect structure comprising a routing layer can be disposed over the fully molded base portion.
  • a photo-imageable solder mask material can be disposed over the routing layer and comprise openings to form surface mount device (SMD) land pads electrically coupled to the semiconductor die and the conductive pillars.
  • SMD surface mount device
  • a SMD component can be electrically coupled to the SMD land pads with surface mount technology (SMT).
  • the semiconductor module can further comprise the photo-imageable solder mask comprising at least one of epoxy solder resist, polyimide, PBO, and silicone.
  • the SMD component can be electrically coupled to the SMD land pads, wherein the SMD component can comprise solderable terminations, the solder paste can be disposed over the SMD land pads, and the solderable terminations can be disposed over, and electrically coupled to, the SMD land pads while the solderable terminations are in contact with the solder paste.
  • the SMD land pads can comprise a solderable surface finish of nickel (Ni) and gold (Au), or Ni, palladium (Pd) and Au, or tin (Sn), or solder, or an Organic Solderability Preservative (OSP).
  • the SMD component can be coupled to the land pads with solder bumps.
  • the build-up interconnect structure can comprises high-density multilayer routing layers.
  • the SMD component can be partially within a footprint of the semiconductor die and partially without a footprint of the semiconductor die, and at least one of the SMD land pads can be positioned over an edge of the footprint of the semiconductor die within the fully molded structure.
  • a first output connector of the module can be adapted to be coupled to a battery, and a second connector of the module can be adapted to be coupled to a display.
  • the semiconductor die in the fully molded base portion can be fully testable before any SMD component is coupled to the SMD land pads.
  • a semiconductor module can comprise a fully molded base portion comprising a planar surface, the base portion further comprising a semiconductor die comprising contact pads, conductive pillars coupled to the contact pads and extending to the planar surface, and an encapsulant material disposed over the active surface, four side surfaces, and around the conductive pillars, wherein ends of the conductive pillars are exposed from the encapsulant material at the planar surface of the fully molded base portion.
  • a build-up interconnect structure can comprise a routing layer disposed over the fully molded base portion.
  • a SMD component can be electrically coupled to the routing layer.
  • the semiconductor module can further comprise the SMD component being electrically coupled to the routing layer.
  • the SMD component can comprise solderable terminations, a solder paste can be disposed over the routing layer, and the solderable terminations can be disposed over, and electrically coupled to, the routing layer when the solderable terminations are in contact with the solder paste.
  • the SMD component can be coupled to the routing layer with solder bumps.
  • the SMD component can be partially within a footprint of the semiconductor die and partially without a footprint of the semiconductor die.
  • a first output connector of the module can be adapted to be coupled to a battery, and a second connector of the module can be adapted to be coupled to a display.
  • the semiconductor die in the fully molded base portion can be fully testable before any SMD component is coupled to the SMD land pads.
  • a method of making a semiconductor module can comprise forming electrical interconnects on a semiconductor die, and encapsulating the semiconductor die with an encapsulant to form a first embedded portion with the electrical interconnects exposed from the encapsulant.
  • a build-up interconnect structure can be formed comprising a conductive RDL layer over the first embedded portion and electrically connected to the electrical interconnects.
  • Surface mount device (SMD) land pads can be formed electrically coupled to the conductive RDL layer.
  • a SMD component can be coupled to the SMD land pads with surface mount technology (SMT) to provide an electrical connection between the SMD component and the semiconductor die through the conductive pillars and the build-up interconnect structure.
  • SMD surface mount device
  • the method of making a semiconductor module can further comprise forming the SMD land pads by disposing a photo-imageable solder mask material over the conductive RDL layer, forming openings in the photo-imageable solder mask material over the conductive RDL layer, and applying a solderable surface finish of Ni and Au; Ni, Pd and Au; Sn; solder; or OSP over the SMD land pads.
  • Coupling the SMD component to the SMD land pads can further comprise screen printing solder paste over each of the SMD land pads, placing solderable terminations of the SMD components over the first embedded portion such that solderable terminations contact the solder paste over the SMD land pads, and reflowing the solder paste to couple the SMD components to the SMD land pads.
  • the semiconductor die can be electrically tested within the first embedded portion before coupling any of the SMD components to the first embedded portion.
  • the method can further comprise coupling the SMD component to the SMD land pads so that the SMD component is partially within a footprint of the semiconductor die and partially without a footprint of the semiconductor die.
  • FIGs. 1 A- ID illustrate a native wafer or substrate comprising a plurality of
  • semiconductor die and conductive interconnects formed over the plurality of semiconductor die.
  • FIGs. 2A-2K illustrate various aspects of formation of semiconductor modules, modules, or semiconductor die modules.
  • FIG. 3 illustrates a process flow or chart for forming semiconductor modules, modules, or semiconductor die modules.
  • Front-end manufacturing involves the formation of a plurality of die on the surface of a semiconductor wafer.
  • Each die on the wafer contains active and passive electrical components, which are electrically connected to form functional electrical circuits.
  • Active electrical components such as transistors and diodes, have the ability to control the flow of electrical current.
  • Passive electrical components such as capacitors, inductors, resistors, and transformers, create a relationship between voltage and current necessary to perform electrical circuit functions.
  • Passive and active components are formed over the surface of the semiconductor wafer by a series of process steps including doping, deposition, photolithography, etching, and planarization.
  • Doping introduces impurities into the semiconductor material by techniques such as ion implantation or thermal diffusion.
  • the doping process modifies the electrical conductivity of semiconductor material in active devices, transforming the semiconductor material into an insulator, conductor, or dynamically changing the semiconductor material conductivity in response to an electric field or base current.
  • Transistors contain regions of varying types and degrees of doping arranged as necessary to enable the transistor to promote or restrict the flow of electrical current upon the application of the electric field or base current.
  • Active and passive components are formed by layers of materials with different electrical properties.
  • the layers can be formed by a variety of deposition techniques determined in part by the type of material being deposited. For example, thin film deposition can involve chemical vapor deposition (CVD), physical vapor deposition (PVD), electrolytic plating, and electroless plating processes.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electrolytic plating electroless plating processes.
  • Each layer is generally patterned to form portions of active components, passive components, or electrical connections between components.
  • the layers can be patterned using photolithography, which involves the deposition of light sensitive material, e.g., photoresist, over the layer to be patterned.
  • a pattern is transferred from a photomask to the photoresist using light.
  • the portion of the photoresist pattern subjected to light is removed using a solvent, exposing portions of the underlying layer to be patterned.
  • the portion of the photoresist pattern not subjected to light, the negative photoresist is removed using a solvent, exposing portions of the underlying layer to be patterned.
  • the remainder of the photoresist is removed, leaving behind a patterned layer.
  • some types of materials are patterned by directly depositing the material into the areas or voids formed by a previous deposition/etch process using techniques such as electroless and electrolytic plating.
  • Patterning is the basic operation by which portions of the top layers on the semiconductor wafer surface are removed. Portions of the semiconductor wafer can be removed using photolithography, photomasking, masking, oxide or metal removal, photography and stenciling, and microlithography. Photolithography includes forming a pattern in reticles or a photomask and transferring the pattern into the surface layers of the semiconductor wafer. Photolithography forms the horizontal dimensions of active and passive components on the surface of the semiconductor wafer in a two-step process. First, the pattern on the reticle or masks is transferred into a layer of photoresist. Photoresist is a light-sensitive material that undergoes changes in structure and properties when exposed to light.
  • the process of changing the structure and properties of the photoresist occurs as either negative-acting photoresist or positive-acting photoresist.
  • the photoresist layer is transferred into the wafer surface. The transfer occurs when etching removes the portion of the top layers of semiconductor wafer not covered by the photoresist.
  • the chemistry of photoresists is such that the photoresist remains substantially intact and resists removal by chemical etching solutions while the portion of the top layers of the semiconductor wafer not covered by the photoresist is removed.
  • the process of forming, exposing, and removing the photoresist, as well as the process of removing a portion of the semiconductor wafer can be modified according to the particular resist used and the desired results.
  • photoresist In negative-acting photoresists, photoresist is exposed to light and is changed from a soluble condition to an insoluble condition in a process known as polymerization.
  • unpolymerized material is exposed to a light or energy source and polymers form a cross-linked material that is etch-resistant.
  • the polymers are polyisopremes. Removing the soluble portions (i.e. the portions not exposed to light) with chemical solvents or developers leaves a hole in the resist layer that corresponds to the opaque pattern on the reticle. A mask whose pattern exists in the opaque regions is called a clear-field mask.
  • photoresist In positive-acting photoresists, photoresist is exposed to light and is changed from relatively nonsoluble condition to much more soluble condition in a process known as photosolubilization. In photosolubilization, the relatively insoluble resist is exposed to the proper light energy and is converted to a more soluble state. The photosolubilized part of the resist can be removed by a solvent in the development process.
  • the basic positive photoresist polymer is the phenol-formaldehyde polymer, also called the phenol-formaldehyde novolak resin. Removing the soluble portions (i.e. the portions exposed to light) with chemical solvents or developers leaves a hole in the resist layer that corresponds to the transparent pattern on the reticle. A mask whose pattern exists in the transparent regions is called a dark-field mask.
  • the remainder of the photoresist is removed, leaving behind a patterned layer.
  • some types of materials are patterned by directly depositing the material into the areas or voids formed by a previous deposition/etch process using techniques such as electroless and electrolytic plating.
  • Depositing a thin film of material over an existing pattern can exaggerate the underlying pattern and create a non-uniformly flat surface.
  • a uniformly flat surface can be beneficial or required to produce smaller and more densely packed active and passive components.
  • Planarization can be used to remove material from the surface of the wafer and produce a uniformly flat surface. Planarization involves polishing the surface of the wafer with a polishing pad. An abrasive material and corrosive chemical are added to the surface of the wafer during polishing. Alternatively, mechanical abrasion without the use of corrosive chemicals is used for planarization. In some embodiments, purely mechanical abrasion is achieved by using a belt grinding machine, a standard wafer backgrinder, or other similar machine. The combined mechanical action of the abrasive and corrosive action of the chemical removes any irregular topography, resulting in a uniformly flat surface.
  • Back-end manufacturing refers to cutting or singulating the finished wafer into the individual semiconductor die and then packaging the semiconductor die for structural support and environmental isolation.
  • the wafer can be cut along non-functional regions of the wafer called saw streets or scribes.
  • the wafer is singulated using a laser cutting tool or saw blade.
  • the individual semiconductor die are mounted to a package substrate that includes pins or contact pads for interconnection with other system components.
  • Contact pads formed over the semiconductor die are then connected to contact pads within the package.
  • the electrical connections can be made with solder bumps, stud bumps, conductive paste, redistribution layers, or wirebonds.
  • An encapsulant or other molding material is deposited over the package to provide physical support and electrical isolation.
  • the finished package is then inserted into an electrical system and the functionality of the semiconductor device is made available to the other system components.
  • the electrical system can be a stand-alone system that uses the semiconductor device to perform one or more electrical functions.
  • the electrical system can be a subcomponent of a larger system.
  • the electrical system can be part of a cellular phone, personal digital assistant (PDA), digital video camera (DVC), or other electronic communication device.
  • the electrical system can be a graphics card, network interface card, or other signal processing card that can be inserted into a computer.
  • the semiconductor package can include microprocessors, memories, application specific integrated circuits (ASIC), logic circuits, analog circuits, radio frequency (RF) circuits, discrete devices, or other semiconductor die or electrical components. Miniaturization and weight reduction can be beneficial or essential for the products to be accepted by the market. The distance between semiconductor devices must be decreased to achieve higher density.
  • the semiconductor packages include sophisticated functionality, electronic devices can be manufactured using less expensive components and a streamlined manufacturing process. The resulting devices are less likely to fail and less expensive to manufacture resulting in a lower cost for consumers.
  • FIGs. 1 A-1D show a plurality of semiconductor die that have been formed according to front-end manufacturing methods and procedures as outlined above. More specifically, FIG. 1 A shows a semiconductor wafer 10 with a base substrate material 12, such as, without limitation, silicon, germanium, gallium arsenide, indium phosphide, or silicon carbide, for structural support. A plurality of semiconductor die or components 14 is formed on wafer 10 separated by a non-active, inter-die wafer area or saw street 16 as described above. Saw streets 16 provide cutting areas to singulate semiconductor wafer 10 into individual semiconductor die 14.
  • a base substrate material 12 such as, without limitation, silicon, germanium, gallium arsenide, indium phosphide, or silicon carbide
  • FIG. IB shows a cross-sectional profile view of a plurality of semiconductor die 14 from the native semiconductor wafer 10, shown in FIG. 1 A.
  • Each semiconductor die 14 has a backside or back surface 18 and an active surface 20 opposite the backside.
  • Active surface 20 contains analog or digital circuits implemented as active devices, passive devices, conductive layers, and dielectric layers formed within the die and electrically interconnected according to the electrical design and function of the die.
  • the circuit may include one or more transistors, diodes, and other circuit elements formed within active surface 20 to implement analog circuits or digital circuits, such as DSP, ASIC, memory, or other signal processing circuits.
  • Semiconductor die 14 may also contain IPDs such as inductors, capacitors, and resistors, for RF signal processing.
  • An electrically conductive layer 22 is formed over active surface 20 using PVD, CVD, electrolytic plating, electroless plating process, or other suitable metal deposition process.
  • Conductive layer 22 can be one or more layers of aluminum (Al), copper (Cu), tin (Sn), nickel (Ni), gold (Au), silver (Ag), or other suitable electrically conductive material.
  • Conductive layer 22 operates as contact pads or bond pads electrically coupled or connected to the circuits on active surface 20.
  • Conductive layer 22 can be formed as contact pads disposed side-by-side a first distance from the edge of semiconductor die 14, as shown in FIG. IB.
  • Conductive layer 22 can also be formed as contact pads that are offset in multiple rows such that a first row of contact pads is disposed a first distance from the edge of the die, and a second row of contact pads alternating with the first row is disposed a second distance from the edge of the die.
  • conductive layer 22 can be formed as contact pads that are arranged as a full array of pads distributed over the active area of the semiconductor die or chip. In some instances the contact pads can be arranged in an irregular or asymmetrical array with differing or various spacing among the contact pads.
  • FIG. 1C shows an optional insulating or passivation layer 26 conformally applied over active surface 20 and over conductive layer 22.
  • Insulating layer 26 can include one or more layers that are applied using PVD, CVD, screen printing, spin coating, spray coating, sintering, thermal oxidation, or other suitable process.
  • Insulating layer 26 can contain, without limitation, one or more layers of silicon dioxide (Si02), silicon nitride (Si3N4), silicon oxynitride (SiON), tantalum pentoxide (Ta205), aluminum oxide (A1203), polymer, polyimide, benzocyclobutene (BCB), polybenzoxazoles (PBO), or other material having similar insulating and structural properties.
  • semiconductor die 14 are packaged without the use of any PBO layers, and insulating layer 26 can be formed of a different material or omitted entirely.
  • insulating layer 26 includes a passivation layer formed over active surface 20 without being disposed over conductive layer 22. When insulating layer 26 is present and formed over conductive layer 22, openings are formed completely through insulating layer 26 to expose at least a portion of conductive layer 22 for subsequent mechanical and electrical interconnection. Alternatively, when insulating layer 26 is omitted, conductive layer 22 is exposed for subsequent electrical interconnection without the formation of openings.
  • FIG. 1C also shows electrical interconnect structures 28 can be formed as columns, pillars, posts, studs, bumps, formed of a suitable conductive material, such as copper, and are disposed over, and coupled or connected to, conductive layer 22.
  • Interconnect structures 28 can be formed directly on conductive layer 22 using patterning and metal deposition processes such as printing, PVD, CVD, sputtering, electrolytic plating, electroless plating, metal evaporation, metal sputtering, or other suitable metal deposition process.
  • Interconnect structures 28 can be one or more layers of Al, Cu, Sn, Ni, Au, Ag, palladium (Pd), or other suitable electrically conductive material and can include one or more UBM layers.
  • a photoresist layer is deposited over semiconductor die 14 and conductive layer 22. A portion of photoresist layer is exposed and removed by an etching development process. Electrical interconnect structures 28 are formed as copper pillars in the removed portion of the photoresist and over conductive layer 22 using a selective plating process. The photoresist layer is removed leaving interconnect structures 28 that provide for subsequent mechanical and electrical interconnection and a standoff with respect to active surface 20 and insulating layer 26, if present. Interconnect structures 28 can include a height HI in a range of 10-100 micrometers ( ⁇ ) or a height in a range of 20-50 ⁇ , or a height of about 35 ⁇ .
  • FIG. 1C further shows wafer 10 undergoes an optional grinding operation with grinder 30 to planarize back surface 18 and reduce a thickness of the wafer.
  • a chemical etch can also be used to remove and planarize a portion of wafer 10.
  • FIG. ID shows after the formation of interconnect structures 28 and the optional grinding of wafer 10, wafer 10 is singulated through saw streets 16 using a saw blade or laser cutting tool 32 into individual semiconductor die 14.
  • FIG. 2A shows a carrier or substrate 36 containing temporary or sacrificial base material such as silicon, polymer, stainless steel, or other suitable low-cost, rigid material for structural support.
  • An optional interface layer or double-sided tape 38 is formed over carrier 36 as a temporary adhesive bonding film or etch-stop layer.
  • carrier 36 is a ring- shaped film frame comprising an open center portion that supports tape 38 at a periphery of he tape as shown in FIG. 2B.
  • FIG. 2A further shows semiconductor die 14 from FIG. ID mounted face up or die up to carrier 36 and interface layer 38 with backside 18 oriented towards the substrate and active surface 20 oriented away from the carrier 36.
  • face up or die up refers to a semiconductor die comprising an active surface and a back surface opposite the active surface that is positioned such that the back surface is coupled to, the carrier.
  • the active surface of the semiconductor die can be oriented away from the carrier when the semiconductor die is mounted to the carrier.
  • face down or die down refers to a semiconductor die comprising an active surface and a back surface opposite the active surface that is positioned such that the active surface is coupled to, and oriented towards, the carrier and the back surface of the semiconductor die is oriented away from the carrier when the semiconductor die is mounted to the carrier.
  • Semiconductor die 14 can be placed over carrier 36 using a pick and place operation or other suitable operation.
  • An adhesive 41 is optionally disposed between backside 18 of semiconductor die 14 and carrier 36.
  • Adhesive 41 can be thermal epoxy, epoxy resin, B-stage epoxy film, ultraviolet (UV) B-stage film with optional acrylic polymer, or other suitable material. In an embodiment, adhesive 41 can be disposed over backside 18 before
  • semiconductor die 14 are mounted over carrier 36.
  • adhesive 41 can be disposed on carrier 36 before mounting the semiconductor die to the carrier.
  • semiconductor die 14 can be mounted directly to interface layer or support tape 38 without use of adhesive 41.
  • Semiconductor die 14 are mounted to carrier 36 such that the semiconductor die are separated by a space or gap 40 when mounted over carrier 36 that provides an area for a subsequently formed fan-out interconnect structure including bussing lines.
  • a size of gap 40 includes sufficient area for optionally mounting semiconductor devices or components within the subsequently formed FOWLPs.
  • FIG. 2C shows an encapsulant or mold compound 42 that can be formed of a polymer composite material, such as epoxy resin with filler, epoxy acrylate with filler, polymer with proper filler, or other suitable material.
  • Encapsulant 42 can be non-conductive, provide physical support, and environmentally protect the semiconductor die 14 from external elements and contaminants.
  • the encapsulant 42 can be deposited using a paste printing, compression molding, transfer molding, liquid encapsulant molding, lamination, vacuum lamination, spin coating, or other suitable applicator.
  • FIG. 2C shows a mold 44 with a plurality of sidewalls 46 brought together with top portion or plate 45, carrier 36, and interface layer 38 to enclose semiconductor die 14 within the mold for subsequent encapsulation.
  • Mold 44 can also include a bottom portion on which carrier 36 is placed and to which sidewalls 46 can be in contact.
  • carrier 36 and interface layer 38 serve as the bottom mold portion for the subsequent encapsulation process.
  • semiconductor die 14, carrier 36, and interface layer 38 may be disposed within a mold including multiple portions, such as top and bottom portions. Mold 44 is brought together by moving mold 44 around semiconductor die 14, or alternatively, by moving the semiconductor die into the mold.
  • FIG. 2C further shows mold 44 encloses semiconductor die 14 with a cavity or open space 50. Cavity 50 extends between mold 44 to semiconductor die 14 and interface layer 38.
  • a volume of encapsulant 42 is disposed over semiconductor die 14 and carrier 36.
  • Inlet 48 can be an exhaust port with optional vacuum assist 54 for providing a vacuum in cavity 50; however, inlet 48 does not provide an escape path for encapsulant 42.
  • Encapsulant 42 can be a polymer composite material, such as epoxy resin with filler, epoxy acrylate with filler, or polymer with proper filler. The volume of encapsulant 42 is measured according to the space requirements of cavity 50 less the area occupied by semiconductor die 14 and any additional semiconductor devices that might be present.
  • Encapsulant 42 is disposed over semiconductor die 14 and between sidewalls 46. Top portion 45 of mold 44 moves along sidewalls 46 toward encapsulant 42 and semiconductor die 14 until the top portion contacts the encapsulant to evenly disperse and uniformly distribute encapsulant 42 within cavity 50 around semiconductor die 14.
  • a viscosity and elevated temperature of encapsulant 42 can be selected for uniform coverage, for example, a lower viscosity and elevated temperature can increase the flow of the encapsulant for molding, paste printing, and spin coating.
  • the temperature of encapsulant 42 can also be controlled within cavity 50 to promote curing of the encapsulant.
  • Semiconductor die 14 are embedded together in encapsulant 42, which is non-conductive and environmentally protects the semiconductor device from external elements and contaminants.
  • FIG. 2D shows an encapsulation process similar to the process described in relation to FIG. 2C.
  • FIG. 2D differs from FIG. 2C by the orientation of semiconductor die 14 relative to carrier 36 and interface layer 38.
  • FIG. 2D shows an embodiment in which semiconductor die 14 are mounted face down with active surface 20 oriented toward carrier 36. Accordingly, adhesive 41 can be omitted from over back surface 18 of semiconductor die 14.
  • FIGs. 2E-2K is shown with respect to the packaging of semiconductor die 14 illustrated in FIG. 2C, the subsequent processing is likewise applicable to the packaging illustrate in FIG. 2D.
  • FIG. 2E shows a cross-sectional profile view of the encapsulant 42 disposed around the semiconductor die 14 to form the embedded die panel, molded panel, or panel 58.
  • the panel 58 can comprise a footprint or form factor of any shape such as circular, square, and rectangular, and further comprises a size that allows for, and facilitates, subsequent processing.
  • the panel 58 can include a form factor similar to the form factor of a 300 millimeter (mm) semiconductor wafer and includes a circular footprint having a diameter of 300 mm, although other sizes are also possible.
  • mm millimeter
  • the panel 58 can comprise a plurality of portions or first embedded portions 60 that can be used for a plurality of subsequently formed semiconductor modules 100, each of which undergoes processing at a same time on the panel 58.
  • first embedded portions 60 can also be referred to, and understood as, a fully molded base portion, an embedded portion, an embedded die, a base portion, or a first portion.
  • the first embedded portions 60 of the panel 58 can, in addition to comprising one or more semiconductor die 14, further comprise integrated circuits (ICs), passive devices, wafer level chip scale packages (WLCSPs) and other components.
  • ICs integrated circuits
  • WLCSPs wafer level chip scale packages
  • FIG. 2F shows a plan view of the panel 58, comprising a plurality of first embedded portions 60.
  • FIG. 2F also shows a cross-section line 2E on the panel 58, from which the cross-sectional view in FIG. 2E for a single first embedded portion 60 is taken.
  • semiconductor die 14 are removed from mold 44, and an embedded die panel or panel 58 optionally undergoes a curing process to cure encapsulant 42.
  • Carrier 36 and interface layer 38 are optionally removed by chemical etching, mechanical peeling, CMP, mechanical grinding, thermal bake, UV light, laser scanning, or wet stripping to expose encapsulant 42.
  • the carrier 36 and the interface layer 38 can remain for subsequent processing and removed at a later time.
  • interface layer 38 like adhesive 41, can remain over the semiconductor die 14 and the encapsulant 42 to become part of a final module structure.
  • the interface layer 38 can be formed as a back-side coating formed of epoxy laminate or other suitable material to encapsulate the backside 18 of the semiconductor die 14 and form a backside or outer surface of the semiconductor module 100.
  • the interface layer 38 can be formed at any suitable time during the formation of the semiconductor module 100.
  • the final module can comprise the interface layer 31, the adhesive 41, or both.
  • a first surface 55 of encapsulant 42 can be substantially coplanar with one or more of the backside 18 of semiconductor die 14, adhesive 41, and interface layer 38.
  • the first surface 55 of the encapsulant 42 can be substantially coplanar with the backside 18, the encapsulant 42 being exposed by the removal of carrier 36 and interface layer 38.
  • FIG. 2E also shows panel 58 can undergo an optional grinding operation with grinder 62 to planarize the second surface 56 of encapsulant 42, which is opposite the first surface 55, and to reduce a thickness of the panel 58 or the first embedded portion 60.
  • a chemical etch can also be used to remove and planarize a portion of encapsulant 42 in panel 58, such as the second surface 56.
  • a surface 63 of interconnect structures 28 can be exposed with respect to surface 56 of the encapsulant 42, or at an edge of panel the 58, to provide for electrical connection between semiconductor die 14 and a subsequently formed build-up interconnect structure or fan-out interconnect structure 70.
  • FIG. 2E also shows that actual positions of the semiconductor die 14 within the reconstituted panel 58 can be measured with an inspection device or optical inspection device 64. As such, subsequent processing of the fully molded panel 58 as shown and described with respect to subsequent FIGs. can be performed with respect to the actual positions of the semiconductor die 14 within the reconstituted panel 58.
  • FIG. 2F shows a plan view of the panel 58.
  • FIG. 2F also shows that the panel 58 can comprise a plurality of saw streets or inter-module areas 66, which can be disposed between and extend along first embedded portions 60, similar to the way in which saw streets 16 separate semiconductor die 14 in their native semiconductor wafers 10.
  • FIG. 2G shows forming a build-up interconnect structure 70 over the molded panel 58 to electrically connect, and provide routing with respect to, conductive interconnects 28.
  • the build-up interconnect structure 70 can comprise high-density multilayer routing layers.
  • build-up interconnect structure 70 is shown comprising three conductive layers 74, 78, 82 and three insulating layers 72, 76, 80 a person of ordinary skill in the art will appreciate that fewer layers or more layers can be used depending on the configuration and design of the semiconductor modules 100.
  • the build-up interconnect structure 70 can optionally comprise a first insulating or passivation layer 72 formed or disposed over the reconstituted panel 58.
  • the first insulating layer 72 can comprise one or more layers of Si0 2 , Si 3 N 4 , SiON, Ta 2 0 5 , A1 2 0 3 , or other material having similar insulating and structural properties.
  • the insulating layer 72 can be formed using PVD, CVD, printing, spin coating, spray coating, sintering or thermal oxidation. Openings or first level vias can be formed through the insulating layer 72 over the interconnect structures 28 to connect with the semiconductor die 14.
  • the opening or first level via can be filled with conductive material or formed as a first level conductive via before the formation of a first electrically conductive layer 74.
  • the first level via can be filled with conductive material and be formed as the first level conductive via with, and at a same time as, the formation of the first electrically conductive layer 74.
  • the first conductive layer or routing 74 can be formed over the reconstituted panel 58 and over the first insulating layer 72 as a first RDL layer to extend through the openings in the first insulating layer 72, to electrically connect with the first level conductive vias, and to electrically connect with electrical interconnect structures 28.
  • Conductive layer 74 can be one or more layers of Al, Cu, Sn, Ni, Au, Ag, or other suitable electrically conductive material formed using a patterning and metal deposition process such as sputtering, electrolytic plating, and electroless plating, or other suitable process.
  • a second insulating or passivation layer 76 which can be similar or identical to the first insulating layer 72, can be disposed or formed over the reconstituted panel 58, the first conductive layer 74, and the first insulating layer 72.
  • An opening or second level via can be formed through the second insulating layer 76 to connect with the first conductive layer 74.
  • the opening or second level via can be filled with conductive material or formed as a second level conductive via before the formation of a second electrically conductive layer 78.
  • the second level via can be filled with conductive material and be formed as the second level conductive via with, and at a same time as, the formation of the second electrically conductive layer 78.
  • a second conductive layer or routing layer 78 which can be similar or identical to the first conductive layer 74, can be formed as a second RDL layer over the reconstituted panel 58, over the first insulating layer 72, over the first conductive layer 74, over the second level conductive via, or within an opening of the second insulating layer 72, to electrically connect with the first conductive layer 74, the first level and second level conductive vias, the electrical interconnect structures 28, and the semiconductor die 14.
  • a third insulating or passivation layer 80 which can be similar or identical to the first insulating layer 72, can be disposed or formed over the second conductive layer 78 and the second insulating layer 76.
  • An opening or a third level via can also be formed in or through the third insulating layer 80 to connect with the second conductive layer 78.
  • the opening or third level via can be filled with conductive material or formed as a third level conductive via before the formation of a third electrically conductive layer 82.
  • the third level via can be filled with conductive material and be formed as the third level conductive via with, and at a same time as, the formation of the third electrically conductive layer 82.
  • the third conductive layer or routing layer 82 can be formed over the third insulating layer 80 to electrically connect with the other conductive layers and conductive vias within the build-up interconnects structure 70, as well as electrically connect to the semiconductor die 14 and the electrical interconnect structures 28.
  • Conductive layer 82 like all of the layers, plating layers, or conductive layers formed by a plating process as presented herein, can be a multiple metal stack comprising one or more of an adhesion layer, barrier layer, seed layer, or wetting layer.
  • the adhesion layer can comprise titanium (Ti), or titanium nitride (TiN), titanium tungsten (TiW), Al, or chromium (Cr).
  • the barrier layer can be formed over the adhesion layer and can be made of Ni, NiV, platinum (Pt), Pd, TiW, or chromium copper (CrCu).
  • the barrier layer can be a sputtered layer of TiW or Ti and can serve as both the adhesion layer and the barrier layer. In either event, the barrier layer can inhibit unwanted diffusion of material, like Cu.
  • the seed layer can be Cu, Ni, NiV, Au, Al, or other suitable material.
  • the seed layer can be a sputtered layer of Cu comprising a thickness of about 2000 angstroms (e.g., 2000 plus or minus 0-600 angstroms).
  • the seed layer can be formed over the barrier layer and can act as an intermediate conductive layer below subsequently placed surface mount device (SMD) components or devices 90.
  • the wetting layer can comprise a layer of Cu with a thickness in a range of about 5-11 ⁇ or 7-9 ⁇ .
  • SMD components 90 can comprise solder such as SnAg solder, which can consume some of the Cu of conductive layer 84 during reflow and forms an intermetallic compound at an interface between the solder and the Cu of the wetting layer.
  • solder such as SnAg solder
  • the Cu of the wetting layer can be made thick enough to prevent full consumption of the Cu pad by the solder during high temperature aging.
  • a photo-imageable solder mask material 84 can be disposed over, around, or both over and around, the build-up interconnect structure 70 and one or more of the conductive routing layers 74, 78, or 82, such as a top routing layer.
  • the photo-imageable solder mask material 84 can comprise epoxy, solder resist, polyimide, PBO, silicone, or other similar or suitable material.
  • the photo-imageable solder mask material 84 can comprise openings around the conductive routing layer 78 to form surface mount device (SMD) land pads 86, which can be electrically coupled to the semiconductor die 14 and the conductive pillars 28, such as through the build-up interconnect structure 70.
  • SMD surface mount device
  • the SMD land pads 86 can further comprise a solderable surface finish of Ni and Au; Ni, Pd, and Au; Sn; solder; Organic Solderability Preservative (OSP); or other suitable material.
  • the solder mask material 84 and the SMD land pads 86 can be formed as part of the build-up interconnect structure 70.
  • the semiconductor die 14 embedded in the fully molded base portion 60 can be fully testable before any SMD components 90 are coupled to the SMD land pads 86.
  • the semiconductor die 14 embedded in the fully molded base portion 60 can also be fully testable before the build-up interconnect structure 70 formed over the embedded die panel 58 and the embedded portions 60, including after electrical interconnect structures 28 are formed over the semiconductor wafer 10 but before the formation of the embedded die panel 58.
  • fully testable includes the ability to test components, such as semiconductor die 14 and the build-up interconnect structure 70, for proper electrical connection, interconnection, and function, and to ensure undesired defects such as bridging or low quality performance are present due to defects.
  • positions of semiconductor die 14 and interconnect structures 28 shift from nominal positions such as during placement and encapsulation of the semiconductor die 14 for formation of panel 58, the true or actual positions of the semiconductor die 14 may not sufficiently align with the nominal design of the fan-out interconnect structure to provide desired reliability for package interconnections given desired routing densities and pitch tolerances.
  • shifts in the positions of semiconductor die 14 are small, no adjustments to the positions of openings in insulating layer 72 or the positioning or arrangement of conductive layer 74 may be required to properly align with the interconnect structures 28.
  • unit specific patterning can be made by unit specific patterning, module specific patterning, or Adaptive PatterningTM (hereinafter "unit specific patterning") as described in greater detail in U.S. Patent Application No. 13/891,006, filed May 9, 2013, the disclosure of which is hereby incorporated by reference.
  • Unit specific patterning can optionally adjust the position of openings 66 for each semiconductor die 14 individually, or can adjust positions for a number of semiconductor die 14 simultaneously.
  • the position, alignment, or position and alignment of openings in insulating layer 72 and the position and arrangement of conductive layer 74 can be adjusted by an x-y translation or by rotation of an angle ⁇ with respect to their nominal positions or with respect to a point of reference or fiducial on panel 58.
  • a 2D code can be optionally formed within the build-up interconnect layer 70, such as an electrically functional RDL layer or one or more of the conductive layers 74, 78, 82, that uniquely identify each semiconductor die 14, first embedded portion 60, or one or more SMD components 90 within the semiconductor module 100.
  • the unique 2D code can be formed as described in U.S. Pat. Appl. No. 14/836,525 titled, "Front Side Package-Level Serialization for Packages Comprising Unique Identifiers" filed Aug. 26, 2015, the entirety of which is incorporated herein by this reference.
  • FIG. 2H shows a plurality of SMD components 90 electrically coupled to the SMD land pads 86 with SMT.
  • the SMD components 90 can comprise terminals or contact pads 91 for interconnection or electrical interconnection between the SMD components 90 and the SMD land pads 86.
  • the SMD components 90 can comprise a variety of semiconductor die, wafer level chip scale packages (WLCSPs), or ICs 92, surface mount devices or active devices 94, and passive devices 96 including solderable passives such as resistors or capacitors, as well as other components, which can be mounted to the first embedded portion 60 and adapted or configured to be in electrical communication with the semiconductor die 14 or other devices embedded within the first embedded portion 60.
  • WLCSPs wafer level chip scale packages
  • the SMD components 90 need not be mounted to, or have signals routed through, a PCB or other substrate before arriving at the first embedded portion 60.
  • a compact semiconductor module 100 can be created that eliminates a need for a PCB or substrate to be used in interconnecting the various SMD components with the first embedded portion 60.
  • Improved integration and reduced size of the semiconductor module 100 is well suited for miniature electronic systems such as smart watches and other IoT devices that require the smallest possible form factor.
  • the SMT 97 used to electrically couple the SMD components 90 to SMD land pads or flex connect 86 can include solder, solder paste, solder bumps, bumps, or balls.
  • the solderable land-pads or flex connect 86 for SMT 97 can be formed as part of, or formed over and coupled to, the build-up interconnect structure 70 and multi-layer routing of conductive layers 74, 78, 82, to allow for large variation in a size of SMT 97.
  • the SMD components 90 being electrically coupled to the SMD land pads further comprise the SMD components 90 comprising solderable terminations 91, solder paste 97 disposed over the SMD land pads 86, and the solderable terminations 91 being disposed over, and electrically coupled to, the SMD land pads 86 while the solderable terminations 91 are in contact with the solder paste 97.
  • the SMD components 90 coupled to the land pads 86 will be coupled with solder bumps 97.
  • the solder can be placed on SMD land pads 86 to facilitate electrical communication between the SMDs 90 and the build-up interconnect structure 70 as well as the first embedded portion 60.
  • the solder can comprise Al, Sn, Ni, Au, Ag, Pb, Bi, Cu, solder, and combinations thereof, with an optional flux solution.
  • the solder can be eutectic Sn/Pb, high-lead solder, or lead-free solder.
  • the solder can be deposited over the first embedded portion 60 and on the SMD land pads 68 using evaporation, electrolytic plating, electroless plating, ball drop, or screen printing process.
  • the solder is Sn solder paste that is deposited using screen printing. After the SMDs 90 are coupled to the first embedded portion 60 with the solder, the solder can undergo a reflow process or be reflowed to improve electrical contact between the SMDs 90 and the SMD land pads 58 or the first embedded portion 60. After reflow, the embedded die panel 58 or first embedded portion 60 and SMDs 90 can optionally undergo one or more of an aqueous clean, an automated optical inspection (AOI), and a plasma clean.
  • AOI automated optical inspection
  • the semiconductor modules 100 can comprise a plurality of fully molded or encapsulated semiconductor die 14, and passives 96 together with other SMD components 90, that can be in face up positions, face down positions, or both.
  • the semiconductor module 100 can be formed as a compact module that eliminates a need for a PCB or other substrate to be used in interconnecting the various SMD components with the first embedded portion 60.
  • an overall size or overall dimensions of the singulated semiconductor modules 100 can comprise heights that are reduced by 10%, 20%, 30% or more from more conventional packages in which PCBs or other substrates are used for the interconnection of components on opposing sides of the packages, such as semiconductor die 14, and components 92, 94, and 96.
  • the module 100 can also provide improved strength due to a robust design.
  • the semiconductor module 100 can comprise at least one of the SMD components 90 being partially within a footprint of one of the semiconductor die 14 and partially without a footprint of the semiconductor die 14.
  • At least one of the SMD land pads 86 can be positioned over an edge of the footprint of the semiconductor die 14 within the fully molded base portion 60.
  • the addition of mold compound 42 over the face or active surface 18 of the semiconductor die 14 and over an edge 17 of the semiconductor die 14 can improve mechanical performance of the semiconductor module 100.
  • the fully molded base portion 60 provides a planar second surface 56 that is mechanically isolated from the topography of the edge 17 of the semiconductor die 14.
  • the build-up interconnect structure 70 can be built or formed using unit specific patterning. As such, the unit specific patterning can be used to adjust the first conductive layer 74 of the build-up interconnect structure 70 for each first embedded portion 60 within the molded panel 58 to align to the actual position of each semiconductor die 14 within each first embedded portion 60, thereby maintaining a constant alignment between the SMD land pads 86 and an outline of the module package 100.
  • FIG. 2J shows that a semiconductor module, module, or semiconductor die module 110, similar to the semiconductor module 100, can be formed with the inclusion of encapsulant or mold compound 106.
  • the SMD components 90 can be encapsulated, overmolded, or disposed within encapsulant or mold compound 106.
  • the encapsulant or mold compound 106 can be formed of a material that is similar or identical to encapsulant 42, including a polymer composite material, such as epoxy resin with filler, epoxy acrylate with filler, polymer with proper filler, or other suitable material.
  • Encapsulant 106 can be non-conductive, provide physical support, and environmentally protect the SMD components 90 from external elements and contaminants.
  • the encapsulant or mold compound 106 can be deposited using a paste printing, compression molding, transfer molding, liquid encapsulant molding, lamination, vacuum lamination, spin coating, or other suitable applicator, similar or identical to the process shown and described for encapsulant 42.
  • a second embedded portion, fully molded top portion, embedded portion, embedded die, top portion, or second portion 108 can be formed by the SMD components 90 being encapsulated or overmolded by the encapsulant 106.
  • the second embedded portion 108 can be opposite of, and coupled to, the first embedded portion 60, the first and second embedded portions 60 and 108 cam be interconnected by the build-up interconnect structure 70 to form a semiconductor module, module, or semiconductor die module 1 10.
  • the molding of the encapsulant 106 can occur either before or after singulation by the saw blade or laser cutting tool 98 to form the semiconductor module 110.
  • FIG. 2K shows a semiconductor module, module, or semiconductor die module 114, similar to the semiconductor module 1 10 shown in FIG. 2 J.
  • the module 114 shows a number of additional features that can be optionally included in addition to those shown in module 100 and module 110.
  • the semiconductor module 114 can further comprise a first set of input/output (i/o) connectors or pads 116 of the module 114, which can be adapted to be coupled to a battery, and a second set of i/o connectors or pads 118 of the module 1 14 being adapted to be coupled to a display or screen.
  • the battery can be electrically connected to at least 2 terminals or pads 116 of the module 114.
  • a display can be electrically connected to the module 114 by way of a flexible connector. Additionally, solder balls or other suitable electrical interconnect component can be optionally attached to the module 114, such as top or bottom portions of the module 1 14 as i/o interconnects.
  • the module 1 14 can also include embedded devices, passive components, or 3D interconnect components 120 integrated within a thickness of the mold compound 42 next to the semiconductor die 14 within the first embedded portion 60.
  • the embedded devices 120 can comprise a SMD 122 coupled to a vertical interconnect or substrate 124, which together can form the embedded devices 120.
  • the embedded devices could be just a SMD 122 or just a vertical interconnect 124.
  • the embedded devices 120 can be formed within the module 114 as disclosed in US Appl. No. 15/141,028, titled "3D Interconnect Component for Fully Molded Packages," filed April 28, 2016, the entirety of the disclosure of which is incorporated herein by this reference.
  • FIG. 2K also shows that the module 114 can also comprise a shielding layer 126.
  • Shielding layer 126 can comprise one or more conductive or metallic materials such as Al, ferrite or carbonyl iron, stainless steel, nickel silver, low-carbon steel, silicon-iron steel, foil, conductive resin, and other metals and composites capable of blocking or absorbing electromagnetic interference (EMI), radio frequency interference (RFI), harmonic distortion, and other inter- device interference.
  • Shielding layer 126 can be patterned and conformally deposited using an electrolytic plating, electroless plating, sputtering, PVD, CVD, or other suitable deposition process.
  • Shielding layer 126 can also be a non-metal material such as carbon-black or aluminum flake to reduce the effects of EMI and RFI.
  • shielding layer 126 can be applied by lamination, spraying, painting, or other suitable process.
  • the shielding layer 126 can also be electrically connected to an external low-impedance ground point.
  • the shielding layer 126 can be added over upper and lower portions of the module 1 14, and backside contact between one or more of the semiconductor die or SMT features, such as backside 18 of semiconductor die 14 can be in contact, direct contact, or coupled to the shielding layer 126.
  • contact between a side, surface, or backside of the one or more semiconductor die or SMT features and the shielding layer 126 can serve as a heat sink or for thermal management.
  • the shielding layer 126 can optionally be formed as conformal EMI shielding that can cover all or most of the top and side surfaces of the module 114, including 90-100% of the top and side surfaces, and in some instances the shielding layer 126 can also cover more than 50% of a sixth side of the module 114, such as a bottom side of the module 114.
  • FIG. 3 shows a non-limiting example of a process flow or chart 130 for formation a module, such as a module 100, 1 10, 1 14, or similar module such as a thermally enhanced fully molded fan-out module.
  • the process flow 130 is shown in schematic form and described with respect to elements, actions, steps, or processes 132-162.
  • the elements 132-162 are presented by way of illustration and not limitation, and while the elements can be performed in the order or sequence presented below, they need not be. Fewer elements, or additional elements, as well as the order or sequence of the various elements used in forming the module can be modified.
  • electrical interconnects 28 can be plated on multiple semiconductor die 14 at a level of native semiconductor wafer 12.
  • each of the semiconductor wafers 12 can be probed to test functionality of each of the semiconductor die 14 in or on the semiconductor wafers 12.
  • the semiconductor wafers 12 can be thinned to a finished Si thickness less than 500 ⁇ or less than 350 microns.
  • the semiconductor wafers 12 can be thinned to a finished Si thickness less than 500 ⁇ or less than 350 microns.
  • semiconductor die 14 can be singulated from the semiconductor wafer 12.
  • known good semiconductor die 14 can be placed face up on the temporary carrier or substrate 36.
  • the semiconductor die 14 can be molded or encapsulated with the encapsulant or mold compound 42 to form the reconstituted wafer, embedded die panel, or plastic panel 58 of any desired size and shape.
  • the carrier 36 can be removed to expose backsides 18 of the molded semiconductor die 14.
  • the second surface or front side 56 of the embedded panel 58 can undergo a grinding process to expose the electrical interconnects 28.
  • the panel 58 can be scanned to measure a position and orientation of each semiconductor die 14 within the panel 58, within the first embedded portions 60, or within each first embedded portion 60.
  • the build-up interconnect structure or high density, multi -layer RDL routing pattern 70 can be formed (using e.g., unit specific patterning) to align the build-up interconnect structure 70 to each semiconductor die 14.
  • the photo-imageable solder mask material 84 can be formed over the final RDL layer to form the SMD land pads 86.
  • the solderable surface finish can be applied over the exposed SMD land pads 86 to facilitate surface mount assembly of components.
  • the panel 58 can be optionally probed to test functionality of each embedded portion 60 within the panel 58.
  • the panel 58 can be optionally thinned by grinding or polishing a back of the panel 58 to reduce a thickness of the embedded semiconductor die 14, such as to a thickness less than 250 ⁇ .
  • SMD components 90 can be attached to the SMD lad pads 86 using a SMT assembly process, which can comprise screen printing solder paste 97 over each SMD land pad 86 and placing SMD components 90 on the panel 58 such that the solderable terminations 91 of the SMD components 90 contact the land pads 86, and the solder 97 can be reflowed to couple the SMD components 90 to the SMD pads 86 on the panel 58.
  • the module units 100, 110, 1 14 can be singulated to separate them from the panel 58.
  • the modules 100, 110, and 114 an exemplary and non-limiting listing of which includes: improved control of contact resistance to contact pads 22 on the semiconductor die 14, improved RF performance of the modules 110, 110, and 114, improved thermal performance and power distribution of the modules, improved mechanical reliability of the modules, a planar surface for fine pitch lithography for the build-up interconnect structure 70, mold compound 42 replacing the first fan- out dielectric layer, a high contrast surface between encapsulant 42 and conductive interconnects 28 for optical die position measurement, a fully protected semiconductor die 14 edge for low-k devices, and a planar surface with low panel warpage that simplifies SMT assembly.
  • WLFO wafer- level fan-out structures
  • Plastic panels or plastic wafers can be problematic in sputter deposition of barrier layers, such as Ti or TiW barrier layers, because plastic tends to out-gas, and trace amounts of oxygen present during the sputter etch and before seed layer deposition can form a few angstroms of aluminum oxide on the contact pads, resulting in high contact resistance, which can impede performance of the semiconductor die.
  • Managing or preventing the formation of aluminum oxide can be accomplished through things like storing the panels in nitrogen before sputter, extended degas time in the sputter tool, extended pump down time to ensure a very low base pressure in the etch chamber, or through other suitable processes.
  • electrical interconnects 28 can be positioned within the encapsulant 42 to provide superior contact resistance with respect to Al or other contact pads 22 by applying Cu or other conductive interconnects 28 to the Si or native wafer 10 just like you would do in a flip-chip bump or wafer WLP process.
  • the contact pads 22 of the semiconductor die 14 are protected by the molded or encased bond between the electrical interconnect 28 and the contact pad 22 so that the process risk (exposure and oxidation) is far lower for the embedded die panel 58 or first embedded portion 60 compared to face down fan-out structures without pillars, posts, or studs.
  • Improved RF performance for the modules 100, 1 10, or 114 can also be available with the layer of mold compound 42 disposed over the active surface 20 of the semiconductor die 14 and around the electrical interconnects 28 that can create an offset or gap of about 10-100 ⁇ , 20-50 ⁇ , or 30 ⁇ (plus or minus 5 ⁇ ) between the active surface 20 of the semiconductor die 14 and the build-up interconnect structure 70, high density multilayer routing layer, or fan- out RDL layer.
  • the additional offset can provide a buffer or space that facilitates desirable performance of features such inductors with a higher quality factor (Q).
  • the conductive interconnects 28 can be formed with small fine pitch Cu studs, with large Cu studs, and can further comprise power or ground planes formed on the same semiconductor die 14. Because the conductive interconnects 28 can be planarized after placing mold compound 42 over the front side 20 of the face up semiconductor die 14, concerns with bump height uniformity are reduced or eliminated even with large variations in bump size or size of conductive interconnects 28 coupled to the semiconductor die 14. With little or no concern with respect to bump size uniformity, large areas of conductive interconnects, including Cu interconnects can be used to more effectively distribute power to the semiconductor die 14.
  • planes of thick Cu can be created as part of, or as one or more, conductive interconnects 28, to improve thermal performance. Additionally, the thickness of the Cu layer can be modulated to tailor performance for different applications.
  • the fully molded structure or first embedded portion 60 can provide a planar surface mechanically isolated from the topography of the semiconductor die edge 17.
  • the fan-out buildup under the SMD component can be at least partially mechanically coupled to the
  • Improvements with the modules 100, 1 10, and 114 can further comprise a planar surface for fine pitch lithography, which can be present because when the embedded die panel 58 is planarized after molding, facilitating fine pitch lithography, such as with formation of the buildup interconnect structure 70, with small depth of field in exposure.
  • the first layer of the build-up interconnect structure 70 whether a dielectric layer like insulating layer 72 or a metal layer like conductive layer 74, can be formed over the single mold compound 42 with coplanar exposed surfaces or ends of conductive interconnects 28.
  • the above improvement is in contrast with respect to face down fan-out or embedded die in substrate structures in which the first layer is formed over more than one base material, such as a semiconductor die and an encapsulant around the semiconductor die.
  • feature size is limited only by the capabilities of the lithography tool, which can now be in a range of about 2-5 ⁇ line and space (or 4-10 ⁇ pitch), or less, with a road map.
  • a thinner photo-polymer layer can be applied to the panel since there is no die edge topography as there is in face down structures. With the planar face up structure there is no problem running very fine traces across the die edge.
  • Improvements with the modules 100, 110, and 114 can also comprise the mold compound 42 replacing the first fan-out dielectric layer, such as insulating layer 72, so that the first conductive layer 74 is placed in direct contact with the encapsulant 42. Omitting the first fan-out dielectric layer and applying the fan-out RDL 74 directly to the embedded die panel 58 can reduce cost, which can be of benefit for smaller parts with low interconnect density. [0087] Within embedded die panel 58, a high contrast surface for optical measurement of position of semiconductor die 14 with respect to the encapsulant 42 is also made available.
  • the fully molded structure is advantageous in the inspection process since it creates a very high contrast surface for inspection, which can include, e.g., Cu bumps appearing white against a black background.
  • the encapsulant 42 over the active surface 20 of the semiconductor die 14 removes from the optical inspection process the distracting features present at the active surface 20 that could slow or complicate inspection.
  • the high contrast image produced by the current design allows for a very fast and reliable scan, which reduces the cost.
  • Improvements with the modules 100, 1 10, and 114 also allow for fully protected die edges 17 for low-k devices.
  • Low-k devices often require a laser groove being formed before dicing of the semiconductor die, which creates additional topography at the die edge.
  • the laser groove before dicing is an additional process step that increases time and expense, but is often required to prevent a particular failure mode.
  • the particular failure mode occurs in face down structures, that might have test pads in a saw street be lifted or moved during singulation such that the lifted pad, which is conductive, will contact or short an RDL or interconnect structure when a thinner photo-polymer layer is used.
  • the current modules 100, 110, and 114 allow for fully encapsulating the sensitive die edge structure with a single mold compound 42 rather than forming a mold compound to photo-polymer interface at or near the edge of the low-k device structure in order to avoid lifted structures and prevent shorts.
  • modules 100, 1 10, and 114 also allow for a planar surface with low warpage of embedded die panel 58 that simplifies SMD and SMT assembly.
  • the structure of modules 100, 110, and 114 can be balanced with portions or layers of encapsulants 42 of similar thickness and material properties being disposed on the top and bottom of semiconductor die 14. Therefore, the stresses induced by the CTE mismatch between the semiconductor die 14 and the encapsulant 42 can be substantially balanced on both sides of the semiconductor die 14.
  • the embedded die panel 58 can therefore remains relatively flat during the SMT processes and the mounding of SMD components 90, which can include placement of components at room temperature followed by reflow of solder at an elevated temperature in excess of 230 degrees Celsius.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Geometry (AREA)
  • Manufacturing & Machinery (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
PCT/US2016/062940 2015-11-20 2016-11-18 Fully molded miniaturized semiconductor module WO2017087899A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020187016456A KR102127774B1 (ko) 2015-11-20 2016-11-18 전체 성형된 소형화 반도체 모듈
CN201680067827.1A CN108307661B (zh) 2015-11-20 2016-11-18 全模制的微型化半导体模块
HK18116103.0A HK1256963A1 (zh) 2015-11-20 2018-12-14 全模製的微型化半導體模塊

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562258040P 2015-11-20 2015-11-20
US62/258,040 2015-11-20
US15/354,447 2016-11-17
US15/354,447 US9831170B2 (en) 2011-12-30 2016-11-17 Fully molded miniaturized semiconductor module

Publications (1)

Publication Number Publication Date
WO2017087899A1 true WO2017087899A1 (en) 2017-05-26

Family

ID=58719240

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/062940 WO2017087899A1 (en) 2015-11-20 2016-11-18 Fully molded miniaturized semiconductor module

Country Status (5)

Country Link
KR (1) KR102127774B1 (ko)
CN (1) CN108307661B (ko)
HK (1) HK1256963A1 (ko)
TW (1) TWI674658B (ko)
WO (1) WO2017087899A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180108606A1 (en) * 2011-12-30 2018-04-19 Deca Technologies Inc. Fully molded miniaturized semiconductor module
KR20190050297A (ko) * 2017-11-02 2019-05-10 주식회사 아모센스 반도체 패키지

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114311B2 (en) * 2018-08-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
KR102179167B1 (ko) * 2018-11-13 2020-11-16 삼성전자주식회사 반도체 패키지
US11183482B2 (en) * 2019-09-17 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Shift control method in manufacture of semiconductor device
US11515174B2 (en) * 2019-11-12 2022-11-29 Micron Technology, Inc. Semiconductor devices with package-level compartmental shielding and associated systems and methods
TWI829379B (zh) * 2021-10-12 2024-01-11 南韓商Wit有限公司 大面積監視設備
CN117525039A (zh) * 2022-07-30 2024-02-06 华为技术有限公司 芯片封装结构及其制作方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001152A1 (en) * 2004-07-02 2006-01-05 Phoenix Precision Technology Corporation Direct connection multi-chip semiconductor element structure
US20130168849A1 (en) * 2011-12-30 2013-07-04 Deca Technologies, Inc. Fully Molded Fan-Out
US20130280826A1 (en) * 2010-02-16 2013-10-24 Deca Technologies Inc. Adaptive patterning for panelized packaging
US20140102772A1 (en) * 2012-09-27 2014-04-17 Unimicron Technology Corp. Packaging carrier and manufacturing method thereof and chip package structure
US20140335658A1 (en) * 2013-05-09 2014-11-13 Deca Technologies Inc. Semiconductor device and method of land grid array packaging with bussing lines

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5759737A (en) 1996-09-06 1998-06-02 International Business Machines Corporation Method of making a component carrier
US6972481B2 (en) * 2002-09-17 2005-12-06 Chippac, Inc. Semiconductor multi-package module including stacked-die package and having wire bond interconnect between stacked packages
US20090170241A1 (en) * 2007-12-26 2009-07-02 Stats Chippac, Ltd. Semiconductor Device and Method of Forming the Device Using Sacrificial Carrier
US8268677B1 (en) * 2011-03-08 2012-09-18 Stats Chippac, Ltd. Semiconductor device and method of forming shielding layer over semiconductor die mounted to TSV interposer
KR101601388B1 (ko) * 2014-01-13 2016-03-08 하나 마이크론(주) 반도체 패키지 및 그 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060001152A1 (en) * 2004-07-02 2006-01-05 Phoenix Precision Technology Corporation Direct connection multi-chip semiconductor element structure
US20130280826A1 (en) * 2010-02-16 2013-10-24 Deca Technologies Inc. Adaptive patterning for panelized packaging
US20130168849A1 (en) * 2011-12-30 2013-07-04 Deca Technologies, Inc. Fully Molded Fan-Out
US20140102772A1 (en) * 2012-09-27 2014-04-17 Unimicron Technology Corp. Packaging carrier and manufacturing method thereof and chip package structure
US20140335658A1 (en) * 2013-05-09 2014-11-13 Deca Technologies Inc. Semiconductor device and method of land grid array packaging with bussing lines

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180108606A1 (en) * 2011-12-30 2018-04-19 Deca Technologies Inc. Fully molded miniaturized semiconductor module
US10373902B2 (en) * 2011-12-30 2019-08-06 Deca Technologies Inc. Fully molded miniaturized semiconductor module
KR20190050297A (ko) * 2017-11-02 2019-05-10 주식회사 아모센스 반도체 패키지
KR102563424B1 (ko) 2017-11-02 2023-08-07 주식회사 아모센스 반도체 패키지 및 모바일용 전자기기

Also Published As

Publication number Publication date
KR102127774B1 (ko) 2020-06-29
CN108307661B (zh) 2022-07-08
HK1256963A1 (zh) 2019-10-04
KR20180084877A (ko) 2018-07-25
TWI674658B (zh) 2019-10-11
TW201729373A (zh) 2017-08-16
CN108307661A (zh) 2018-07-20

Similar Documents

Publication Publication Date Title
US10373902B2 (en) Fully molded miniaturized semiconductor module
US10720417B2 (en) Thermally enhanced fully molded fan-out module
US9502397B1 (en) 3D interconnect component for fully molded packages
US9269622B2 (en) Semiconductor device and method of land grid array packaging with bussing lines
US10418298B2 (en) Semiconductor device and method of forming dual fan-out semiconductor package
US8610286B2 (en) Semiconductor device and method of forming thick encapsulant for stiffness with recesses for stress relief in Fo-WLCSP
US8592992B2 (en) Semiconductor device and method of forming vertical interconnect structure with conductive micro via array for 3-D Fo-WLCSP
US9082780B2 (en) Semiconductor device and method of forming a robust fan-out package including vertical interconnects and mechanical support layer
US8816404B2 (en) Semiconductor device and method of forming stacked semiconductor die and conductive interconnect structure through an encapsulant
US9117812B2 (en) Semiconductor device and method of forming non-linear interconnect layer with extended length for joint reliability
US8900929B2 (en) Semiconductor device and method for forming openings and trenches in insulating layer by first LDA and second LDA for RDL formation
KR102127774B1 (ko) 전체 성형된 소형화 반도체 모듈
US9824923B2 (en) Semiconductor device and method of forming conductive pillar having an expanded base
SG193122A1 (en) Semiconductor device and method for forming a low profile embedded wafer level ball grid array molded laser package (ewlb-mlp)
US9240331B2 (en) Semiconductor device and method of making bumpless flipchip interconnect structures
US20130015569A1 (en) Semiconductor Device and Method of Forming Substrate With Seated Plane for Mating With Bumped Semiconductor Die
US20130113118A1 (en) Semiconductor Device and Method of Forming Sloped Surface in Patterning Layer to Separate Bumps of Semiconductor Die from Patterning Layer

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16867295

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20187016456

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1020187016456

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 16867295

Country of ref document: EP

Kind code of ref document: A1