WO2014077270A1 - Procédé de gravure pour substrat semi-conducteur et procédé de production d'élément semi-conducteur - Google Patents

Procédé de gravure pour substrat semi-conducteur et procédé de production d'élément semi-conducteur Download PDF

Info

Publication number
WO2014077270A1
WO2014077270A1 PCT/JP2013/080655 JP2013080655W WO2014077270A1 WO 2014077270 A1 WO2014077270 A1 WO 2014077270A1 JP 2013080655 W JP2013080655 W JP 2013080655W WO 2014077270 A1 WO2014077270 A1 WO 2014077270A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
layer
mass
group
etching method
Prior art date
Application number
PCT/JP2013/080655
Other languages
English (en)
Japanese (ja)
Inventor
祐継 室
上村 哲也
稲葉 正
篤史 水谷
Original Assignee
富士フイルム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士フイルム株式会社 filed Critical 富士フイルム株式会社
Publication of WO2014077270A1 publication Critical patent/WO2014077270A1/fr

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the present invention relates to a method for etching a semiconductor substrate and a method for manufacturing a semiconductor element.
  • Semiconductor devices are increasingly miniaturized and diversified, and their processing methods are diversified according to device structures and manufacturing processes.
  • the etching of the substrate the development of both dry etching and wet etching is proceeding, and various chemicals and processing conditions are proposed according to the type and structure of the substrate material.
  • a technique for precisely etching a predetermined material when fabricating an element structure such as a CMOS or DRAM is important, and one of the corresponding techniques is wet etching using a chemical solution.
  • precise etching is required in the production of a substrate having circuit wiring, metal electrode material, a barrier layer, a hard mask, or the like in a fine transistor circuit.
  • sufficient research has not yet been conducted on etching conditions and chemical solutions that are suitable for substrates having various metal compounds. Under such circumstances, efficient removal of hard masks and the like applied to the element substrate has been considered as a manufacturing issue, and there is an example in which a chemical solution for specifically etching titanium nitride (TiN) has been studied. (See Patent Documents 1 to 7).
  • References 6 and 7 disclose an etching solution in which tetramethylammonium hydroxide and hydrogen peroxide are mixed.
  • the etching liquid of the above formulation is simply used, the surface of the TiN layer after etching becomes non-uniform, causing a partial residue after etching (etching unevenness). It has become clear that there is. Considering the severe demand level of the semiconductor industry in recent years, it is desired to improve the non-uniformity of the substrate surface. In addition, we want to achieve uniformization after etching of the metal surface provided at the same time.
  • the present invention provides an etching method capable of realizing uniform surface after etching of the metal layer together with the TiN layer when etching the first layer containing titanium nitride (TiN), and an etching solution used therefor, and
  • An object of the present invention is to provide a method for manufacturing the used semiconductor device.
  • the etching method according to any one of [1] to [3], wherein the oxidizing agent is nitric acid or hydrogen peroxide.
  • the etching solution further contains at least one surface homogenizing agent selected from the group consisting of nitrogen-containing organic compounds, aromatic compounds, and oxygen-containing organic compounds.
  • the etching method as described.
  • the etching method according to [6] wherein the surface uniformizing agent comprises a compound represented by any of the following formulas (I) to (IX).
  • R 1 to R 30 each independently represent a hydrogen atom or a substituent. At this time, adjacent ones may be linked or condensed to form a cyclic structure.
  • A represents a nitrogen atom.
  • the surface after etching of the metal layer (second layer) is made while the surface of the TiN layer is made uniform after etching (suppression of uneven etching). Uniformity can also be realized.
  • FIG. 1 is a view showing a semiconductor substrate before etching.
  • a silicon wafer (not shown) in which a SiOC layer 3 and a SiON layer 2 are arranged as specific third layers and a TiN layer 1 is formed thereon is used.
  • a via 5 is already formed in the composite layer, and a second layer (metal layer) 4 containing a metal is formed at the bottom of the via 5.
  • the TiN layer is removed by applying the etching solution (not shown) in this embodiment to the substrate 10 in this state. As a result, as shown in FIG. 2, the substrate 20 with the TiN film removed can be obtained.
  • the etching as shown in the figure is ideal, but the remaining TiN layer or some corrosion of the second layer may cause the required quality of the semiconductor device to be manufactured.
  • the present invention is not construed as being limited by this description.
  • the term “silicon substrate” or “semiconductor substrate”, or simply “substrate”, includes not only a silicon wafer but also a substrate structure in which a circuit structure is provided.
  • the member of the substrate refers to a member constituting the silicon substrate defined above and may be made of one material or a plurality of materials.
  • a processed semiconductor substrate is sometimes referred to as a semiconductor substrate product.
  • the chip further processed and diced out and the processed product are called a semiconductor element or a semiconductor device. That is, in a broad sense, a semiconductor element belongs to a semiconductor substrate product. Regarding the orientation of the substrate, unless otherwise specified, in FIG. 1, the side opposite to the silicon wafer (TiN side) is referred to as “up” or “top”, and the silicon wafer side (SiOC side) is referred to as “down” or “ The bottom.
  • the etching solution of this embodiment contains an oxidizing agent and an organic onium compound.
  • an oxidizing agent and an organic onium compound.
  • each component including an arbitrary one will be described.
  • oxidizing agent examples include nitric acid, hydrogen peroxide, ammonium persulfate, perboric acid, peracetic acid, periodic acid, perchloric acid, or combinations thereof, and nitric acid and hydrogen peroxide are particularly preferable.
  • an oxidizing agent with respect to the total mass of the etching liquid of this embodiment, 3 mass% or more is more preferable, and it is especially preferable to contain 5 mass% or more.
  • As an upper limit it is preferable that it is 40 mass% or less, 35 mass% or less is more preferable, and 30 mass% or less is further more preferable. It is preferable to set it to the upper limit value or less because excessive etching of the second layer can be further suppressed. It is preferable from the viewpoint of etching the first layer at a sufficient rate to be not less than the above lower limit. In addition, it is preferable to adjust the amount to a suitable range because the etching surface of the first layer can be made more uniform.
  • the said oxidizing agent may be used individually by 1 type, or may be used in combination of 2 or more type.
  • Organic onium compounds The organic onium compound is defined as having a carbon atom in the molecule and including a quaternary onium structure. Specifically, quaternary ammonium or a salt thereof is preferable, and quaternary ammonium hydroxide is more preferable. As the quaternary ammonium hydroxide, a tetraalkylammonium hydroxide is more preferable.
  • TMAH tetramethylammonium hydroxide
  • TEAH tetraethylammonium hydroxide
  • benzyltrimethylammonium hydroxide ethyltrimethylammonium hydroxide, 2-hydroxyethyltrimethylammonium hydroxide
  • benzyltriethyl examples include ammonium hydroxide, hexadecyltrimethylammonium hydroxide, tetrabutylammonium hydroxide (TBAH), tetrahexylammonium hydroxide, tetrapropylammonium hydroxide, and the like.
  • tetraalkylammonium hydroxides having 3 or more methyl groups and / or ethyl groups are more preferable. Most preferred is tetramethylammonium hydroxide or ethyltrimethylammonium hydroxide.
  • the organic onium compound is preferably contained in an amount of 0.01% by mass or more, more preferably 0.05% by mass or more, and more preferably 0.1% by mass or more with respect to the total mass of the etching solution of the present embodiment. It is particularly preferable to contain it.
  • the upper limit is preferably 6% by mass or less, more preferably 4% by mass or less, and particularly preferably 2.5% by mass or less. It is preferable to set it to the upper limit value or less because W or the like can be prevented from being etched more than necessary. It is preferable to set it to the above lower limit value or more because the TiN etching rate can be made practical.
  • the organic onium compound is preferably used in an amount of 0.01 parts by mass or more, more preferably 0.02 parts by mass or more, with respect to 100 parts by mass of the oxidizing agent.
  • the upper limit is preferably 30 parts by mass or less, more preferably 20 parts by mass or less, and particularly preferably 10 parts by mass or less.
  • etching solution of the present invention it is preferable to contain a surface uniformizing agent that improves the uniformity of the second layer by etching.
  • a surface uniformizing agent that improves the uniformity of the second layer by etching.
  • the uniformity of the surface of the first layer after etching can be further enhanced, and the surface uniformity of the second layer can also be realized.
  • the surface uniformity of the first layer (TiN layer) described above has been realized by increasing the surface uniformity of the metal layer (second layer) provided in this way, the uniformity alone. Compared to those having the above, it can greatly contribute to the improvement of the manufacturing process and the manufacturing quality.
  • the surface homogenizing agent is preferably a nitrogen-containing organic compound, preferably a 5-membered or 6-membered nitrogen-containing heterocyclic compound (the hetero atom is a nitrogen atom).
  • the aromatic compound is mentioned as the preferable thing.
  • Heterocyclic compounds and aromatic compounds may be monocyclic or polycyclic.
  • the heterocyclic compound a 5-membered nitrogen-containing heteroaromatic compound is more preferable.
  • the nitrogen content is preferably 1 to 4.
  • the aromatic compound a compound having a benzene ring is preferable.
  • the surface homogenizing agent is preferably a compound represented by any of the following formulas (I) to (IX).
  • R 1 to R 30 each independently represent a hydrogen atom or a substituent.
  • substituents include an alkyl group (preferably having 1 to 20 carbon atoms, more preferably 1 to 6), an alkenyl group (preferably having 2 to 20 carbon atoms, more preferably 2 to 6), an aryl group (preferably carbon atoms). 6 to 24, more preferably 1 to 12), a heterocyclic group (preferably 1 to 20 carbon atoms, more preferably 1 to 6), an alkoxy group (preferably 1 to 20 carbon atoms, more preferably 1 to 6 carbon atoms).
  • An acyl group (preferably having 2 to 20 carbon atoms, more preferably 2 to 6 carbon atoms), an amino group (preferably having 0 to 6 carbon atoms), a carboxyl group, a phosphate group, a hydroxy group, a thiol group (—SH), Examples thereof include a boronic acid group (—B (OH) 2 ).
  • the aryl group is preferably a phenyl group or a naphthyl group.
  • heterocyclic group examples include a nitrogen-containing heteroaromatic group, among which a 5-membered nitrogen-containing heteroaromatic group is preferable, and a pyrrole group, an imidazole group, a pyrazole group, a triazole group, or a tetrazole group is more preferable. These substituents may further have a substituent as long as the effects of the present invention are achieved.
  • the amino group, carboxyl group, phosphoric acid group, and boronic acid group may form a salt thereof.
  • the counter ion forming the salt include quaternary ammonium such as ammonium ion (NH 4 + ) and tetramethylammonium ion ((CH 3 ) 4 N + ).
  • the above substituents may be substituted via any linking group.
  • the linking group include an alkylene group (preferably having a carbon number of 1 to 20, more preferably 1 to 6), an alkenylene group (preferably having a carbon number of 2 to 20, more preferably 2 to 6), an ether group (—O—). ), An imino group (preferably having a carbon number of 0 to 4), a thioether group (—S—), a carbonyl group, or a combination thereof.
  • This linking group is hereinafter referred to as linking group L.
  • this coupling group may have a substituent further in the range with the effect of this invention.
  • R 1 to R 30 are preferably an alkyl group having 1 to 6 carbon atoms, a carboxyl group, an amino group (preferably having 0 to 4 carbon atoms), a hydroxy group, or a boronic acid group. These substituents may be substituted via the linking group L as described above.
  • R 1 to R 30 may be adjacent to each other or linked or condensed to form a ring structure.
  • the ring structure to be formed include a pyrrole ring structure, an imidazole ring structure, a pyrazole ring structure, and a triazole ring structure. These ring structure parts may further have a substituent within the range where the effects of the present invention are exhibited.
  • the ring structure formed here is a benzene ring, it divides and arrange
  • ⁇ A A represents a nitrogen atom.
  • the compound represented by the formula (VII) is preferably one represented by any of the following formulas (VII-1) to (VII-4).
  • R a represents an acidic group, preferably a carboxyl group, a phosphoric acid group, or a boronic acid group.
  • the acidic group may be substituted through the linking group L.
  • R b is an alkyl group having 1 to 6 carbon atoms, an amino group (preferably having 0 to 4 carbon atoms), a hydroxyl group, an alkoxy group (preferably having 1 to 6 carbon atoms), or an acyl group (preferably having 1 to 6 carbon atoms). ).
  • the substituent R b may be substituted through the linking group L. When there are a plurality of R b s , these may be linked or condensed to form a ring structure.
  • n1 is an integer of 1 to 5.
  • n2 is an integer of 0 to 5.
  • n3 is an integer of 0-4. When n1 to n3 are each 2 or more, the plurality of substituents defined therein may be the same or different.
  • A has the same meaning as A defined above.
  • R c , R d and R e are groups having the same meanings as R 1 to R 30 .
  • the content of the nitrogen-containing organic compound or aromatic compound that forms the surface homogenizing agent is not particularly limited, but is preferably 0.01% by mass or more, more preferably 0.05% by mass or more in the etching solution, and 0.1% A mass% or more is particularly preferred.
  • the upper limit is not particularly limited, but is preferably 5% by mass or less, more preferably 3% by mass or less, and particularly preferably 1% by mass or less. It is preferable to set it to the above lower limit value or more because a suitable uniformizing effect on the metal layer can be obtained. On the other hand, it is preferable to set it to the upper limit value or less from the viewpoint of not hindering good etching performance.
  • the etching liquid of this invention it is also preferable to contain an oxygenated organic compound as said surface uniformizing agent.
  • the oxygen-containing organic compound is preferably a compound that becomes a water-soluble organic solvent.
  • the water-soluble organic solvent is preferably an organic solvent that can be mixed with water at an arbitrary ratio.
  • oxygen-containing organic compound examples include methyl alcohol, ethyl alcohol, 1-propyl alcohol, 2-propyl alcohol, 2-butanol, ethylene glycol, propylene glycol, glycerin, 1,6-hexanediol, cyclohexanediol, sorbitol, xylitol, Alcohol compounds such as 2-methyl-2,4-pentanediol, 1,3-butanediol, 1,4-butanediol, alkylene glycol alkyl ether (ethylene glycol monomethyl ether, ethylene glycol monobutyl ether, diethylene glycol, dipropylene glycol, Propylene glycol monomethyl ether, diethylene glycol monomethyl ether, triethylene glycol, polyethylene glycol, propylene group Glycol monomethyl ether, dipropylene glycol monomethyl ether, tripropylene glycol monomethyl ether, ether compounds containing diethylene glycol monobutyl ether).
  • an alcohol compound having 2 to 15 carbon atoms and a hydroxyl group-containing ether compound having 2 to 15 carbon atoms are preferable, and an alcohol compound having 2 to 10 carbon atoms and a hydroxyl group-containing ether having 2 to 10 carbon atoms are more preferable.
  • a compound. Particularly preferred are alkylene glycol alkyl ethers having 3 to 8 carbon atoms.
  • the oxygen-containing organic compounds may be used alone or in appropriate combination of two or more.
  • a compound having a hydroxyl group (—OH) and an ether group (—O—) in the molecule is assumed to be included in the ether compound in principle (not called an alcohol compound),
  • a compound having both a hydroxyl group and an ether group is particularly distinguished and referred to, it may be referred to as a hydroxyl group-containing ether compound.
  • the oxygen-containing organic compound is preferably a compound represented by the following formula (O-1).
  • R 11 , R 12 R 11 and R 12 are each independently a hydrogen atom or an alkyl group having 1 to 5 carbon atoms. Especially, it is preferable that it is a C1-C5 alkyl group each independently, and it is still more preferable that it is a C1-C3 alkyl group.
  • R 13 is a linear or branched alkylene chain having 1 to 4 carbon atoms. When a plurality of R 13 are present, each of them may be different.
  • ⁇ N n is an integer of 1 or more and 6 or less.
  • the amount of the oxygen-containing organic compound added is preferably 0.1% by mass or more, and more preferably 10% by mass or more with respect to the total amount of the etching solution.
  • As an upper limit 70 mass% or less is preferable, and 50 mass% or less is more preferable. When this amount is not less than the above lower limit, the above-described etching uniformity can be effectively improved.
  • the above surface homogenizing agents may be used alone or in combination of two or more.
  • substituent T examples include the following.
  • An alkyl group preferably an alkyl group having 1 to 20 carbon atoms, such as methyl, ethyl, isopropyl, t-butyl, pentyl, heptyl, 1-ethylpentyl, benzyl, 2-ethoxyethyl, 1-carboxymethyl, etc.
  • alkenyl A group preferably an alkenyl group having 2 to 20 carbon atoms such as vinyl, allyl, oleyl and the like
  • an alkynyl group preferably an alkynyl group having 2 to 20 carbon atoms such as ethynyl, butadiynyl, phenylethynyl and the like
  • a cycloalkyl group preferably a cycloalkyl group having 3 to 20 carbon atoms, such as cyclopropyl, cyclopentyl, cyclohexyl, 4-methylcyclohex
  • the compound or substituent / linking group contains an alkyl group / alkylene group, alkenyl group / alkenylene group, etc.
  • these may be cyclic or chain-like, and may be linear or branched, and substituted as described above. It may be substituted or unsubstituted.
  • an aryl group, a heterocyclic group, etc. are included, they may be monocyclic or condensed and may be similarly substituted or unsubstituted.
  • the technical matters such as temperature and thickness, as well as the choices of substituents and linking groups of the compounds, can be combined with each other even if the list is described independently.
  • aqueous medium In the etching liquid of the present invention, water (aqueous medium) is preferably applied as the medium, and an aqueous solution in which each component is uniformly dissolved is preferable.
  • the water content is preferably 50 to 99.5% by mass, more preferably 55 to 95% by mass, based on the total mass of the etching solution.
  • a composition containing water as a main component (50% by mass or more) is sometimes referred to as an aqueous composition, and is inexpensive and suitable for the environment as compared with a composition having a high organic solvent ratio. This is preferable.
  • the etching solution of the present invention is preferably an aqueous composition.
  • the water may be an aqueous medium containing a dissolved component as long as the effects of the present invention are not impaired, or may contain an unavoidable trace mixed component.
  • water that has been subjected to purification treatment such as distilled water, ion-exchanged water, or ultrapure water is preferable, and ultrapure water that is used for semiconductor manufacturing is particularly preferable.
  • the pH of the etching solution is preferably adjusted to 6.5 or higher, more preferably 7 or higher.
  • the pH is preferably 11 or less, more preferably 10.5 or less, and even more preferably 9 or less.
  • it is preferable from the viewpoint of not only making the TiN etching rate practical but also improving the in-plane uniformity.
  • it is preferable for the corrosion resistance to other substrates such as SiO and SiOC to be not more than the above upper limit value.
  • pH shall be based on the apparatus and conditions which were measured in the Example.
  • pH adjuster it is preferable to use an alkali metal salt such as potassium hydroxide or an alkaline earth metal salt such as calcium hydroxide, or an amino compound such as 2-aminoethanol or guanidine in order to increase the pH.
  • an alkali metal salt such as potassium hydroxide or an alkaline earth metal salt such as calcium hydroxide, or an amino compound such as 2-aminoethanol or guanidine in order to increase the pH.
  • inorganic acids such as hydrochloric acid, nitric acid, sulfuric acid, phosphoric acid, or formic acid, acetic acid, propionic acid, butyric acid, valeric acid, 2-methylbutyric acid, n-hexanoic acid, 3,3-dimethylbutyric acid, 2-ethylbutyric acid, 4-methylpentanoic acid, n-heptanoic acid, 2-methylhexanoic acid, n-octanoic acid, 2-ethylhexanoic acid, benzoic acid, glycolic acid, salicylic acid, glyceric acid, oxalic acid, malonic acid, Examples thereof include organic acids such as succinic acid, glutaric acid, adipic acid, pimelic acid, maleic acid, phthalic acid, malic acid, tartaric acid, citric acid, and lactic acid.
  • organic acids such as succinic acid, glutaric acid, adipic acid, pimelic acid
  • the amount of the pH adjuster used is not particularly limited, and may be used in an amount necessary for adjusting the pH to the above range.
  • the etching solution in the present invention may be a kit in which the raw material is divided into a plurality.
  • the liquid composition which contains the said organic onium compound in an aqueous medium as a 1st liquid is prepared, and the liquid composition which contains the said oxidizing agent in an aqueous medium as a 2nd liquid is mentioned.
  • a mode in which both solutions are mixed to prepare an etching solution, and then applied to the etching process at an appropriate time is preferable. By doing so, it is possible to effectively exhibit a desired etching action without incurring deterioration of liquid performance due to decomposition of an oxidizing agent (for example, hydrogen peroxide).
  • an oxidizing agent for example, hydrogen peroxide
  • timely after mixing refers to the time period after mixing until the desired action is lost, specifically within 60 minutes, more preferably within 30 minutes, and more preferably within 10 minutes. Is particularly preferable. Although there is no lower limit in particular, it is practical that it is 1 second or more.
  • the concentration of the organic onium compound in the first liquid is not particularly limited, but is preferably 2% by mass or more, and more preferably 4% by mass or more. As an upper limit, it is preferable that it is 20 mass% or less, and it is more preferable that it is 10 mass% or less. By setting this concentration within the above range, a state suitable for mixing with the second liquid can be obtained, and a suitable concentration region in the etching liquid can be obtained.
  • the concentration of the oxidizing agent in the second liquid is not particularly limited, but is preferably 5% by mass or more, and more preferably 10% by mass or more. As an upper limit, it is preferable that it is 50 mass% or less, and it is preferable that it is 40 mass% or less. By setting this concentration within the above range, it is possible to obtain a state suitable for mixing with the first liquid, and a preferable concentration region in the etching liquid can be obtained.
  • a liquid composition containing a water-soluble organic solvent in an aqueous medium may be prepared and mixed with the first liquid and the second liquid as a third liquid.
  • the method of mixing the first liquid and the second liquid is not particularly limited, but it is preferable that the first liquid and the second liquid are circulated through the respective flow paths, and both are merged at the junction. After that, it is preferable that the flow path is further circulated, and the etching solution obtained by joining is discharged or jetted from the discharge port and brought into contact with the semiconductor substrate. In this embodiment, it is preferable that the process from the merging and mixing at the merging point to the contact with the semiconductor substrate is performed at the “timely”. This will be described with reference to FIG. 3. The prepared etching solution is sprayed from the discharge port 13 and applied to the upper surface of the semiconductor substrate S in the reaction vessel 11.
  • the two liquids A and B are supplied, merge at the junction 14, and then move to the discharge port 13 via the flow path fc.
  • a flow path fd indicates a return path for reusing the chemical solution.
  • the semiconductor substrate S is on the turntable 12 and is preferably rotated together with the turntable by the rotation drive unit M. Note that an embodiment using such a substrate rotation type apparatus can be similarly applied to a process using an etching solution that is not used as a kit.
  • a complex compound such as ethylenediaminetetraacetic acid (EDTA) for the anticorrosion performance of SiO or SiOC.
  • EDTA ethylenediaminetetraacetic acid
  • the etching solution of the present invention can be stored, transported and used in any container as long as corrosion resistance or the like does not matter (whether or not it is a kit).
  • a container having a high cleanliness and a low impurity elution is preferable.
  • the containers that can be used include, but are not limited to, “Clean Bottle” series manufactured by Aicero Chemical Co., Ltd., “Pure Bottle” manufactured by Kodama Resin Co., Ltd., and the like.
  • the etching conditions are not particularly limited, but may be single-wafer (spray) etching or immersion (batch) etching.
  • spray etching the semiconductor substrate is conveyed or rotated in a predetermined direction, and an etching solution is sprayed into the space to bring the etching solution into contact with the semiconductor substrate.
  • batch-type etching a semiconductor substrate is immersed in a liquid bath made of an etching solution, and the semiconductor substrate and the etching solution are brought into contact in the liquid bath.
  • the environmental temperature at which etching is performed is preferably 40 ° C. or higher, more preferably 50 ° C. or higher, and particularly preferably 55 ° C. or higher in the temperature measurement method shown in the examples described later.
  • As an upper limit it is preferable that it is 80 degrees C or less, and it is more preferable that it is 70 degrees C or less.
  • the supply rate of the etching solution is not particularly limited, but is preferably 0.05 to 1 L / min, and more preferably 0.1 to 0.5 L / min.
  • it is preferably 0.1 to 3 L (liter) / min, more preferably 0.2 to 2 L / min.
  • uniformity in the etching plane can be ensured.
  • the upper limit value or less it is preferable because stable selectivity can be secured during continuous processing.
  • the semiconductor substrate is rotated, although it depends on its size and the like, it is preferable to rotate it at 50 to 400 rpm from the same viewpoint as described above.
  • When setting a higher rotation speed it is preferably 100 to 2000 rpm, more preferably 300 to 1000 rpm.
  • the immersion time of the semiconductor substrate is not particularly limited, but is preferably 0.5 to 30 minutes, more preferably 1 to 10 minutes.
  • the immersion time of the semiconductor substrate is not particularly limited, but is preferably 0.5 to 30 minutes, more preferably 1 to 10 minutes.
  • the semiconductor substrate is transported or rotated in a predetermined direction, an etching solution is sprayed into the space, and the etching solution is brought into contact with the semiconductor substrate.
  • the supply rate of the etching solution and the rotation speed of the substrate are the same as those already described.
  • the etching solution in the single wafer type apparatus configuration according to a preferred embodiment of the present invention, as shown in FIG. 4, it is preferable to apply the etching solution while moving the discharge port (nozzle).
  • the discharge port moves along a movement trajectory line t extending from the center to the end of the semiconductor substrate.
  • the direction of rotation of the substrate and the direction of movement of the discharge port are set to be different from each other.
  • the etching solution can be applied evenly over the entire surface of the semiconductor substrate, and the etching uniformity is suitably ensured.
  • the moving speed of the discharge port (nozzle) is not particularly limited, but is preferably 0.1 cm / s or more, and more preferably 1 cm / s or more.
  • the upper limit is preferably 30 cm / s or less, and more preferably 15 cm / s or less.
  • the movement trajectory line may be a straight line or a curved line (for example, an arc shape). In either case, the moving speed can be calculated from the actual distance of the trajectory line and the time spent for the movement.
  • any material can be etched by applying the etching solution of the present embodiment, but a substrate having a first layer containing TiN is applied.
  • the layer containing TiN means that oxygen may be contained, and in particular, it may be referred to as a TiON layer when distinguished from a layer not containing oxygen.
  • the oxygen content of the TiN layer is 10 mol% or less, preferably 8.5 mol% or less, and more preferably 6.5 mol% or less.
  • the lower limit side is 0.1 mol% or more, preferably 2.0 mol% or more, and more preferably 4.0 mol% or more.
  • the surface oxygen concentration of the TiN layer in this substrate is important to set within the above range.
  • the lower limit value or more and the upper limit value or less in-plane uniformity after etching of TiN can be realized.
  • Such a surface uniformity effect becomes remarkable by using a single-wafer etching apparatus.
  • the surface oxygen concentration of the TiN layer (first layer) can be measured by the method described in Examples below.
  • the adjustment of the oxygen concentration in the TiN layer by such a substrate can be performed, for example, by adjusting the oxygen concentration in the CVD (Chemical Vapor Deposition) process chamber when forming the TiN layer.
  • the 1st layer contains TiN as the main component, it may contain the other component in the range with the effect of this invention. The same applies to other layers such as the second layer (metal layer).
  • the first layer is preferably etched at a high etching rate.
  • the thickness of the first layer is not particularly limited, but it is practical that the thickness is about 0.005 to 0.3 ⁇ m in consideration of the structure of a normal element.
  • the etching rate [R1] of the first layer is not particularly limited, but is preferably 50 ⁇ / min or more, more preferably 100 ⁇ / min or more, and particularly preferably 200 ⁇ / min or more in consideration of production efficiency. .
  • the upper limit is preferably 500 ⁇ / min or less, more preferably 400 ⁇ / min or less, and particularly preferably 350 ⁇ / min or less.
  • the invention disclosed in Japanese Patent Application Laid-Open No. 2010-10273 uses a TiN film, which relates to an insulating film application.
  • the TiN film as an insulating film has a high surface oxygen concentration in order to enhance the insulation, and the concentration usually exceeds 10 mol%.
  • the metal hard mask (MHM) is an object, so that insulation is not necessarily required. Therefore, the surface oxygen concentration can be adjusted according to the etching property or the like.
  • the method of the present invention is preferably applied to a semiconductor substrate having a second layer containing a metal such as Cu, W, Co, Ni, Ag, Ta, Hf, Pt, or Au. Especially, it is preferable to apply Cu and W as the material of the second layer.
  • a metal such as Cu, W, Co, Ni, Ag, Ta, Hf, Pt, or Au.
  • Cu and W as the material of the second layer.
  • the copper wiring generally has a copper seed layer (for example, a double layer of tantalum (Ta) and tantalum nitride (TaN)) that functions as a copper diffusion prevention film for preventing copper diffusion in the copper wiring.
  • a copper seed layer for example, a double layer of tantalum (Ta) and tantalum nitride (TaN)
  • Ta tantalum nitride
  • the contact of the semiconductor element is usually provided through a tungsten plug by a single damascene process instead of the dual damascene process used when forming the copper wiring and the via hole.
  • a damascene method is employed in which concave portions such as wiring grooves and through holes are formed in a low dielectric constant layer and copper is embedded therein.
  • a mask made of a material having a sufficiently high selectivity with the low dielectric constant layer is used as a mask for etching the low dielectric constant layer.
  • the low dielectric constant layer an organic material is generally used. Therefore, when the low dielectric constant layer is etched using a photoresist layer made of the same organic material as a mask, the selection ratio becomes insufficient. It is possible.
  • a hard mask layer made of an inorganic material such as a TiN film as a mask for etching.
  • the hard mask layer needs to be removed in a process after etching the low dielectric constant layer.
  • wet process etching it is preferable to dissolve a metal layer such as a tungsten plug and, if necessary, other wiring / low dielectric constant layer material without corrosion, or to keep the surface uniform.
  • the metal layer (second layer) is usually located at the bottom of the via hole or trench (see FIGS. 1 and 2). ).
  • the etching rate [R2] of the second layer (metal layer) is not particularly limited, but it is preferably not excessively removed, preferably 0.001 to 100 ⁇ / min, and 0.01 to 50 ⁇ / min. It is more preferable.
  • the exposed width of the metal layer is not particularly limited, but is preferably 5 nm or more, more preferably 10 nm or more, from the viewpoint that the advantages of the present invention become more prominent.
  • the upper limit value is practically 1000 nm or less, preferably 100 nm or less, more preferably 25 nm or less, from the viewpoint of the conspicuous effect.
  • the method of the present invention is also preferably applied to a semiconductor substrate having a third layer containing a metal compound such as SiO, SiN, SiOC, or SiON.
  • a metal compound such as SiO, SiN, SiOC, or SiON.
  • SiO means to include a thermal oxide film of silicon, SiO 2, and includes SiOx.
  • This third layer is preferably suppressed to a low etching rate.
  • the thickness of the third layer is not particularly limited, but it is practical that the thickness is about 0.005 to 0.5 ⁇ m in consideration of the structure of a normal element.
  • the etching rate [R3] of the third layer is preferably low, and its preferred range is the same as [R2].
  • the method widely includes contacting the etching solution with the substrate.
  • the etching solution may be immersed and etched in a batch type or may be etched by discharge in a single wafer type.
  • Example 1 An etching solution was prepared by containing the components shown in Table 1 below in the composition (% by mass) shown in the same table. The balance is water (ultra pure water).
  • TiN substrate creation method A TiN film having a surface oxygen concentration of 6.1 mol% was formed on a commercially available silicon substrate by CVD. Similarly, a metal layer (W layer) in the table was formed next to the TiN layer as required by CVD, and used as a test substrate. During CVD, substrates with different surface oxygen concentrations and substrates with different diameters were created by controlling the trace oxygen concentration in the gas phase. A commercially available TiN substrate (manufactured by Silicon Valley microelectronics) was used as it was for an oxygen concentration of 12.2%.
  • the surface oxygen concentration of the TiN layer was measured by measuring the concentration profile of Ti, O, N in the depth direction from 0 to 30 nm by etching ESCA (Quanta, manufactured by ULVAC-PHI), and calculating the content at 5 to 10 nm, The average oxygen content was defined as the surface oxygen concentration.
  • a radiation thermometer IT-550F (trade name) manufactured by HORIBA, Ltd. was fixed at a height of 30 cm above the wafer in the single wafer type apparatus. A thermometer was directed onto the wafer surface 2 cm outside from the wafer center, and the temperature was measured while flowing a chemical solution. The temperature was digitally output from the radiation thermometer and recorded continuously with a personal computer. Among these, the value obtained by averaging the temperature for 10 seconds at which the temperature was stabilized was defined as the temperature on the wafer.
  • the pH in the table is a value measured with F-51 (trade name) manufactured by HORIBA at room temperature (25 ° C.).
  • the etching rate (ER) of the TiN layer was evaluated in the following categories. Measurement is performed by ellipsometry, spectroscopic ellipsometer J. et al. A. The measurement was carried out by a film thickness measurement method using VASE (trade name) manufactured by Woollam Co., Ltd., and the average of the five points was evaluated. A 300 ⁇ / min or more B 200 ⁇ / min or more and less than 300 ⁇ / min C 100 ⁇ / min or more and less than 200 ⁇ / min D 50 ⁇ / min or more and less than 100 ⁇ / min Practical difficulty E Less than 50 / min Not practical
  • Tests starting with C are comparative examples
  • the substrates used are all 6 inch wafers in Table 1.
  • TMAH Tetramethylammonium hydroxide
  • TBAH Tetrabutylammonium hydroxide
  • EDTA Ethylenediaminetetraacetic acid
  • the pH of the etching solution was 7.2 in Test 101, 8.3 in 102, and 7.1 in 103.
  • TiN can be suitably removed and good in-plane uniformity after etching can be maintained.
  • test no. In the experiment of 105, when the diameter of the substrate was changed to 8 inches and 12 inches, it was found that the in-plane uniformity of W was further improved as the diameter of the substrate was increased.
  • Example 2 (Example 2 and Comparative Example 2) No. 1 of Example 1 except that the surface oxygen concentration of the substrate was changed as shown in the table below. An etching test was conducted in the same manner as in No. 109. The results are shown in the table below.
  • Example 3 Except for changing the etching conditions as shown in Table 3 below, No. 1 in Example 1 was used. An etching test was conducted in the same manner as in No. 109. The results are shown in the table below.
  • SWT Nozzle swing type single wafer type device SPS-Europe B. V. POLOS (product name)
  • ⁇ BT Batch type equipment Manual wet bench (product name) manufactured by Seto Giken Kogyo Co., Ltd.
  • -Swing speed Swing speed of the discharge port for applying chemical liquid-Liquid supply form: Liquid prepared and applied as a 1: 1 liquid (applied immediately after preparation)
  • 2 Two-component chemical kit prepared and mixed (using the apparatus of FIG. 3)
  • 35 mass% hydrogen peroxide water is used as the second solution
  • the first solution is prepared by adding other components to the aqueous solution and adjusting the components so that a predetermined chemical composition is obtained after mixing with the two solutions. It was used.
  • ⁇ Mixed elapsed time Elapsed time from mixing two chemical kits to applying to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

Cette invention concerne un procédé de gravure dans lequel, lors du traitement d'un substrat présentant une première couche qui comprend du nitrure de titane (TiN) et une seconde couche qui comprend un métal de transition, le substrat est sélectionné de telle façon que la teneur superficielle en oxygène de la première couche va de 0,1 à 10 % en pourcentage molaire, et la première couche est éliminée par application d'un liquide de gravure qui comprend un composé d'onium organique et un agent oxydant sur le substrat.
PCT/JP2013/080655 2012-11-15 2013-11-13 Procédé de gravure pour substrat semi-conducteur et procédé de production d'élément semi-conducteur WO2014077270A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012-251443 2012-11-15
JP2012251443A JP6017275B2 (ja) 2012-11-15 2012-11-15 半導体基板のエッチング方法及び半導体素子の製造方法

Publications (1)

Publication Number Publication Date
WO2014077270A1 true WO2014077270A1 (fr) 2014-05-22

Family

ID=50731181

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/080655 WO2014077270A1 (fr) 2012-11-15 2013-11-13 Procédé de gravure pour substrat semi-conducteur et procédé de production d'élément semi-conducteur

Country Status (3)

Country Link
JP (1) JP6017275B2 (fr)
TW (1) TWI605106B (fr)
WO (1) WO2014077270A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI705132B (zh) 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
TWI816635B (zh) 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
KR102506218B1 (ko) * 2016-05-03 2023-03-06 동우 화인켐 주식회사 질화티타늄 막의 식각액 조성물

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07281445A (ja) * 1994-04-11 1995-10-27 Toshiba Corp 半導体装置
JPH11145144A (ja) * 1997-11-07 1999-05-28 Yamaha Corp 導電層形成法
JP2008536312A (ja) * 2005-04-08 2008-09-04 サッチェム, インコーポレイテッド 金属窒化物の選択的なウェットエッチング
JP2008285508A (ja) * 2007-05-15 2008-11-27 Mitsubishi Gas Chem Co Inc 洗浄用組成物
JP2010010273A (ja) * 2008-06-25 2010-01-14 Tokyo Ohka Kogyo Co Ltd 窒化チタン除去液、窒化チタン被膜の除去方法、及び窒化チタン除去液の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07281445A (ja) * 1994-04-11 1995-10-27 Toshiba Corp 半導体装置
JPH11145144A (ja) * 1997-11-07 1999-05-28 Yamaha Corp 導電層形成法
JP2008536312A (ja) * 2005-04-08 2008-09-04 サッチェム, インコーポレイテッド 金属窒化物の選択的なウェットエッチング
JP2008285508A (ja) * 2007-05-15 2008-11-27 Mitsubishi Gas Chem Co Inc 洗浄用組成物
JP2010010273A (ja) * 2008-06-25 2010-01-14 Tokyo Ohka Kogyo Co Ltd 窒化チタン除去液、窒化チタン被膜の除去方法、及び窒化チタン除去液の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115011347A (zh) * 2022-06-30 2022-09-06 湖北兴福电子材料有限公司 一种氮化铝和钨的选择性蚀刻液
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液

Also Published As

Publication number Publication date
TW201428088A (zh) 2014-07-16
JP6017275B2 (ja) 2016-10-26
TWI605106B (zh) 2017-11-11
JP2014099559A (ja) 2014-05-29

Similar Documents

Publication Publication Date Title
JP6017273B2 (ja) 半導体基板のエッチング方法及び半導体素子の製造方法
TWI602905B (zh) 半導體基板的蝕刻液、使用其的蝕刻方法及半導體元件的製造方法
JP6063206B2 (ja) エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
TWI605156B (zh) 蝕刻液、使用其的蝕刻方法及半導體元件的製造方法
JP5396514B2 (ja) エッチング方法及びこれに用いられるエッチング液、これを用いた半導体基板製品の製造方法
TWI611046B (zh) 半導體基板的蝕刻方法、蝕刻液及半導體元件的製造方法以及蝕刻液套組
WO2014077270A1 (fr) Procédé de gravure pour substrat semi-conducteur et procédé de production d'élément semi-conducteur
JP6198384B2 (ja) 半導体基板のエッチング方法及び半導体素子の製造方法
KR101630654B1 (ko) 에칭방법, 이것을 사용한 반도체 기판 제품 및 반도체 소자의 제조방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13855194

Country of ref document: EP

Kind code of ref document: A1

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13855194

Country of ref document: EP

Kind code of ref document: A1