WO2013168825A1 - ガス供給装置及び基板処理装置 - Google Patents

ガス供給装置及び基板処理装置 Download PDF

Info

Publication number
WO2013168825A1
WO2013168825A1 PCT/JP2013/063616 JP2013063616W WO2013168825A1 WO 2013168825 A1 WO2013168825 A1 WO 2013168825A1 JP 2013063616 W JP2013063616 W JP 2013063616W WO 2013168825 A1 WO2013168825 A1 WO 2013168825A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
gas supply
diffusion chamber
gas diffusion
outermost
Prior art date
Application number
PCT/JP2013/063616
Other languages
English (en)
French (fr)
Inventor
陽平 内田
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US14/391,482 priority Critical patent/US9887108B2/en
Priority to CN201380019330.9A priority patent/CN104205309B/zh
Priority to KR1020147028375A priority patent/KR102070702B1/ko
Publication of WO2013168825A1 publication Critical patent/WO2013168825A1/ja
Priority to US15/852,194 priority patent/US10199241B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention relates to a gas supply device and a substrate processing apparatus that distribute and supply gas to a plurality of regions formed by dividing a processing space for processing a substrate.
  • wafer a semiconductor device wafer (hereinafter simply referred to as “wafer”) as a substrate is accommodated in a processing chamber and plasma processing is performed on the wafer by plasma generated in the processing chamber, the wafer is uniformly plasma processed. Therefore, it is necessary to uniformly distribute the plasma in the processing space facing the wafer in the processing chamber.
  • wafer semiconductor device wafer
  • a plurality of gas diffusion chambers are provided corresponding to each of the plurality of regions divided in the shower head facing the processing space, and the processing gas supplied to each gas diffusion chamber is a large number of gases. Although it introduce
  • a plate-like manifold (gas distribution plate) 251 in which a plurality of gas supply ports 250 a and 250 b penetrating vertically is provided as shown in FIG. 24 and the gas supply branches to the upper surface of the manifold 251.
  • the grooves 252a and 252b are formed, and in each of the gas supply grooves 252a and 252b, the distances from the communication locations 253a and 253b to the gas supply ports (not shown) to the gas supply ports 250a and 250b are set to be the same.
  • the processing gas can be supplied from each gas supply port 250a at the same timing and the same pressure, and the processing gas can be supplied from each gas supply port 250b at the same timing and the same pressure.
  • each gas distribution plate is formed in one gas distribution plate. Considering interference between the gas supply grooves, the number of gas supply grooves that can be formed is limited. As a result, there is a problem that the number of gas diffusion chambers corresponding to each of the gas supply grooves cannot be increased, and plasma cannot be distributed more uniformly in the processing space.
  • An object of the present invention is to provide a gas supply apparatus and a substrate processing apparatus capable of distributing plasma more uniformly in a processing space.
  • a gas supply device for supplying gas from a gas supply source to a processing space, the opposing plate facing the processing space and having a plurality of through holes, a plurality of gas distribution plates, and a lid plate
  • the counter plate, the plurality of gas distribution plates, and the cover plate are laminated in this order, and a plurality of gas diffusion chambers are provided on the surface of the gas distribution plate closest to the counter plate on the side of the counter plate.
  • Each of the gas distribution plates is formed with at least one gas supply path for supplying the gas from the gas supply source to one of the gas diffusion chambers.
  • the supply path branches into a plurality of branch paths, and the distance from the gas supply source to the tip of each branch path is the same.
  • the conductance of each of the branch paths is preferably the same.
  • the gas supply device faces a disk-shaped substrate through the processing space
  • the plurality of gas diffusion chambers include a plurality of groove-shaped spaces
  • the plurality of groove-shaped spaces are outer edges of the substrate. It is preferably formed so as to face the outside.
  • each of the plurality of gas distribution plates includes a disk-shaped member, and the plurality of gas diffusion chambers include a disk-shaped space formed at the center of the disk-shaped member, and the disk-shaped space. And the plurality of groove-like spaces formed concentrically with each other.
  • the additional gas is individually supplied from the additional gas supply source to each of the plurality of gas diffusion chambers.
  • a substrate processing apparatus comprising: a processing chamber that accommodates a substrate in a processing space; and a gas supply device that is disposed so as to face the substrate and supplies gas from a gas supply source to the processing space.
  • the gas supply device includes a counter plate facing the processing space and having a plurality of through holes, a plurality of gas distribution plates, and a cover plate.
  • the counter plate, the plurality of gas distribution plates And a cover plate are stacked in this order, and a plurality of gas diffusion chambers are formed on the surface of the gas distribution plate closest to the counter plate on the side of the counter plate, and each of the gas distribution plates includes the gas distribution plate.
  • At least one gas supply path for supplying the gas from a supply source to any of the gas diffusion chambers is formed, and in each of the gas distribution plates, the gas supply path branches into a plurality of branch paths, and the gas supply path From the source to the tip of each branch. The distance the substrate processing apparatus is provided, which is a same.
  • each of the gas distribution plates is provided with at least one gas supply path for supplying gas from the gas supply source to any of the gas diffusion chambers.
  • the number of passages can be easily increased, so that the number of gas diffusion chambers can be increased and the processing space can be divided into more regions.
  • the gas supply path branches into a plurality of branch paths, and the distance from the gas supply source to the tip of each branch path is the same. As a result, plasma can be distributed more uniformly in the processing space.
  • FIG. 2 is a perspective view schematically showing a configuration of a shower head in FIG. 1. It is a top view which shows the mode of arrangement
  • FIG. 1 is a cross-sectional view schematically showing a configuration of a substrate processing apparatus including a gas supply device according to the present embodiment.
  • a substrate processing apparatus 10 includes a chamber 11 (processing chamber) that accommodates a wafer W, a substantially columnar mounting table 12 that is disposed substantially at the center of the chamber 11 and places the wafer W thereon, A shower head 13 (gas supply device) disposed on the ceiling of the chamber 11 so as to face the mounting table 12 and an exhaust device 14 for exhausting the inside of the chamber 11 are provided.
  • a chamber 11 processing chamber
  • a substantially columnar mounting table 12 that is disposed substantially at the center of the chamber 11 and places the wafer W thereon
  • a shower head 13 gas supply device disposed on the ceiling of the chamber 11 so as to face the mounting table 12 and an exhaust device 14 for exhausting the inside of the chamber 11 are provided.
  • the mounting table 12 includes a cylindrical base portion 15 made of a conductor, for example, aluminum, an electrostatic chuck 16 disposed on the upper surface of the base portion 15, and a shield 17 made of an insulator covering the periphery of the base portion 15.
  • the electrostatic chuck 16 has a built-in electrostatic electrode plate 19 connected to a DC power source 18. Further, the mounting table 12 is arranged so as to surround the wafer W electrostatically attracted to the electrostatic chuck 16, and the focus ring 20 made of a semiconductor, for example, silicon, and the quartz surrounding the focus ring 20. And a shield ring 21 made of
  • a high-frequency power source 24 is connected to the base 15 of the mounting table 12 via a power feed rod 22 and a lower matching unit 23, and the high-frequency power source 24 supplies high-frequency power to the base 15, and there are many around the mounting table 12.
  • a ring plate-like exhaust plate 25 having a through hole is disposed.
  • the shower head 13 introduces the processing gas and the additional gas from the external processing gas source and the additional gas source into the processing space S between the mounting table 12 and the shower head 13. Details of the configuration of the shower head 13 will be described later.
  • the electric field generated in the processing space S by the high frequency power supplied to the base 15 excites the processing gas introduced into the processing space S to generate plasma, and the plasma generates a predetermined plasma on the wafer W. Processing, for example, dry etching processing is performed.
  • the exhaust device 14 depressurizes the inside of the chamber 11, and the exhaust plate 25 prevents the plasma in the processing space S from flowing into the exhaust device 14.
  • the shower head 13 has a counter plate 27 facing the processing space S and having a large number of through gas holes 26, four gas distribution plates 28 to 31, a cooling plate 32, and a cover plate 33.
  • the counter plate 27, the gas distribution plates 28 to 31, the cooling plate 32, and the lid plate 33 are all made of a disk-like member having the same outer diameter, and are stacked in this order on the shower head 13 as shown in FIG.
  • a central gas diffusion chamber 34, a peripheral gas diffusion chamber 35, and an outermost gas diffusion chamber 36 are formed on the surface (the lower surface in FIG. 1) on the counter plate 27 side of the gas distribution plate 28 closest to the counter plate 27.
  • the central gas diffusion chamber 34 is formed of a disk-shaped space formed at the center of the gas distribution plate 28, and the peripheral gas diffusion chamber 35 and the outermost gas diffusion chamber 36 are separated from the central gas diffusion chamber 34. It consists of two annular groove-like spaces formed concentrically.
  • a wall 37 is formed between the central gas diffusion chamber 34 and the peripheral gas diffusion chamber 35, and a wall 38 is formed between the peripheral gas diffusion chamber 35 and the outermost gas diffusion chamber 36.
  • the peripheral gas diffusion chamber 35 is formed so as to face the wafer W placed on the mounting table 12, and the peripheral gas diffusion chamber 35 and the outermost gas diffusion chamber 36 are formed on the wafer W. It is formed so as to face the focus ring 20 and the shield ring 21 without facing each other.
  • a refrigerant passage 32 a is provided inside the cooling plate 32, and the temperature of the cooling plate 32, and thus the entire shower head 13, is adjusted by the refrigerant flowing through the refrigerant passage 32 a.
  • the shower head 13 is connected to a processing gas introduction system 39 that is connected to a processing gas source and introduces a processing gas, and an additional gas introduction system 40 that is connected to the additional gas source and introduces an additional gas.
  • the pipe 41 connected to the processing gas source is branched into two pipes 43 and 44 by a flow divider 42, and the pipe 44 is further branched into two pipes 44a and 44b.
  • the pipes 43, 44a, and 44b are connected to the cover plate 33 through connection portions 45a to 45c, respectively, and supply the processing gas to the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36.
  • the pipe 46 connected to the additional gas source is branched into three pipes 46a to 46c.
  • the pipes 46a to 46c are connected to the lid plate 33 through connection portions 47a to 47c, respectively, and supply additional gas to the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36.
  • a valve 48 is disposed in the pipe 44 b, and the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36 are adjusted by distributing the processing gas by the flow divider 42 and opening / closing the valve 48. The flow rate of the supplied process gas is individually adjusted.
  • the processing gas supplied to the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36 is introduced into the processing space S through the through gas holes 26 of the counter plate 27, as described above.
  • the portion (hereinafter referred to as the central gas diffusion chamber 34) in the processing space S “Center portion”), a portion facing the peripheral gas diffusion chamber 35 (hereinafter referred to as “peripheral portion”), and a portion facing the outermost gas diffusion chamber 36 (hereinafter referred to as “outermost portion”).
  • the flow rate of the processing gas introduced into () is also individually controlled. That is, the processing space S is divided into three parts (a central part, a peripheral part, and an outermost part), and the flow rate of the processing gas introduced into the central part, the peripheral part, and the outermost part is individually controlled.
  • a valve 49a is disposed on the pipe 46a, and a valve 49c is disposed on the pipe 46c.
  • the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber are opened and closed by opening and closing the valves 49a and 49c.
  • the flow rate of the additional gas supplied to 36 is individually adjusted.
  • the additional gas supplied to the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36 is introduced into the processing space S through the through gas holes 26 of the counter plate 27.
  • the central portion, the peripheral portion, and the outermost portion in the processing space S are adjusted.
  • the flow rate of the additional gas introduced is also individually controlled. That is, regarding the additional gas, the flow rate of the additional gas introduced into the central portion, the peripheral portion, and the outermost portion in the processing space S is individually controlled.
  • connection portion 45 a and the connection portion 47 a are disposed at substantially the center of the cover plate 33, and penetrate the shower head 13 in the thickness direction from each of the connection portion 45 a and the connection portion 47 a toward the central gas diffusion chamber 34.
  • Vertical gas supply paths 50 and 51 are formed. As shown in FIG. 4, each of the vertical gas supply paths 50 and 51 is opened at the approximate center of the central gas diffusion chamber 34, and is thus supplied to the central gas diffusion chamber 34 via the vertical gas supply paths 50 and 51.
  • the processing gas and the additional gas are uniformly diffused and distributed in the central gas diffusion chamber 34.
  • the processing gas and the additional gas are evenly introduced from the through gas holes 26 corresponding to the central gas diffusion chamber 34 into the central portion of the processing space S, so that the processing gas and the additional gas are evenly distributed in the central portion. Furthermore, the plasma can be uniformly distributed.
  • Each of the gas distribution plates 28 to 31 of the shower head 13 is formed with a gas supply path for distributing and supplying the processing gas or the additional gas to the peripheral gas diffusion chamber 35 and the outermost gas diffusion chamber 36.
  • the gas distribution plate 31 is supplied with the processing gas introduced through the connection portion 45 b on the surface on the lid plate 33 side (upper surface in FIG. 10).
  • a gas supply path 52 that is distributed and supplied to the diffusion chamber 35 is formed.
  • the gas distribution plate 30 distributes the additional gas introduced through the connection portion 47 b to the peripheral gas diffusion chamber 35 on the surface on the lid plate 33 side (upper surface in FIG. 11).
  • a gas supply path 53 to be supplied is formed.
  • the gas distribution plate 29 is supplied with the processing gas introduced through the connecting portion 45 c on the surface on the lid plate 33 side (upper surface in FIG. 12) to the outermost gas diffusion chamber 36.
  • a gas supply path 54 for distributing and supplying is formed. Further, as shown in FIG. 9 and FIG. 13, the gas distribution plate 28 receives the additional gas introduced through the connection portion 47 c on the surface on the lid plate 33 side (upper surface in FIG. 13). A gas supply path 55 that is distributed and supplied to 36 is formed. That is, one gas supply path is formed in one gas distribution plate. 5 and 7 to 13, a part of the shape is omitted for the sake of simplicity, and the cross sections of the gas supply paths 52 to 55 are U-shaped as shown in FIG. The depth is set to 5 to 10 mm.
  • the shower head 13 penetrates the lid plate 33 and the cooling plate 32 from the connection portion 45 b in the thickness direction, and the end of the gas supply path 52.
  • a vertical gas supply path 56 that communicates with the communication location 52a, which is a portion, is formed.
  • four vertical gas supply paths 57a to 57d are formed through the gas distribution path 28 through 31 in the thickness direction from the gas supply path 52 and open to the peripheral gas diffusion chamber 35 (shown by broken lines in FIG. 5). .
  • the four vertical gas supply paths 57a to 57d are arranged symmetrically with respect to the center of the peripheral gas diffusion chamber 35 and are equally arranged in the circumferential direction.
  • the gas supply path 52 branches from the communication point 52a toward the vertical gas supply paths 57a to 57d on the upper surface of the gas distribution plate 31 into the branch paths 52b to 52e, and the vertical gas supplies are provided at the ends of the branch paths 52b to 52e.
  • the paths 57a to 57d are opened.
  • the distance from the communication location 52a to the tips of the branch paths 52b to 52e is set to be the same, so the connection section 45b to the opening in the peripheral gas diffusion chamber 35 of each of the vertical gas supply paths 57a to 57d. Are the same distance.
  • each vertical gas supply path 57a is connected from the connecting portion 45b.
  • Conductance to the opening in the peripheral gas diffusion chamber 35 of ⁇ 57d is also the same.
  • the flow rate, pressure, and timing of the processing gas supplied from the openings of the vertical gas supply passages 57a to 57d in the peripheral gas diffusion chamber 35 are the same, whereby the processing gas is evenly distributed in the peripheral gas diffusion chamber 35. Distributed.
  • the gas supply path 52 branches in an H shape in plan view, but the branching form of the gas supply path 52 is not limited to this, and the distance from the communication point 52 a to the tips of the branch paths 52 b to 52 e is as follows. If it is the same, it may be formed in any form.
  • the shower head 13 penetrates the lid plate 33, the cooling plate 32, and the gas distribution plate 31 from the connection portion 47 b in the thickness direction, and at the end of the gas supply path 53.
  • a vertical gas supply path 58 communicating with a certain communication point 53a is formed.
  • four vertical gas supply paths 59a to 59d are formed which penetrate the gas distribution plates 28 to 30 in the thickness direction from the gas supply path 53 and open to the peripheral gas diffusion chamber 35 (shown by broken lines in FIG. 7). .
  • the four vertical gas supply paths 59a to 59d are arranged symmetrically with respect to the center of the peripheral gas diffusion chamber 35 and are equally arranged in the circumferential direction.
  • the gas supply path 53 is branched into the respective branch paths 53b to 53e from the communication portion 53a toward the respective vertical gas supply paths 59a to 59d on the upper surface of the gas distribution plate 30, and each vertical gas supply is provided at the tip of each of the branch paths 53b to 53e.
  • the paths 59a to 59d are opened.
  • the distance from the communication point 53a to the tip of each branch path 53b to 53e is set to be the same, from the connecting portion 47b to the opening in the peripheral gas diffusion chamber 35 of each vertical gas supply path 59a to 59d. Are the same distance.
  • each vertical gas supply path 59a is connected to the connecting portion 47b.
  • Conductance to the opening in the peripheral gas diffusion chamber 35 of ⁇ 59d is also the same.
  • the flow rate, pressure, and timing of the additional gas supplied from the openings of the vertical gas supply passages 59a to 59d in the peripheral gas diffusion chamber 35 are the same, whereby the additional gas is evenly distributed in the peripheral gas diffusion chamber 35. Distributed.
  • the gas supply path 53 branches in an H shape in plan view, but the branch form of the gas supply path 53 is not limited to this, and the distance from the communication point 53 a to the tips of the branch paths 53 b to 53 e is as follows. If it is the same, it may be formed in any form.
  • the shower head 13 penetrates the lid plate 33, the cooling plate 32, and the gas distribution plates 31, 30 from the connection portion 45 c in the thickness direction, and A vertical gas supply path 60 that communicates with the communication portion 54a that is the end is formed. Further, four vertical gas supply paths 61a to 61d are formed which penetrate from the gas supply path 54 to the gas distribution plates 28 and 29 in the thickness direction and open to the outermost gas diffusion chamber 36 (shown by broken lines in FIG. 8). The The four vertical gas supply paths 61a to 61d are arranged symmetrically with respect to the center of the outermost gas diffusion chamber 36 and are equally arranged in the circumferential direction.
  • the gas supply path 54 branches from the communication portion 54a to the respective vertical gas supply paths 61a to 61d on the upper surface of the gas distribution plate 29 into the respective branch paths 54b to 54e, and each vertical gas supply at the tip of each of the branch paths 54b to 54e.
  • the paths 61a to 61d are opened.
  • each vertical gas supply path 61a is connected to the connecting portion 45c.
  • Conductance to the opening in the outermost gas diffusion chamber 36 of ⁇ 61d is also the same.
  • the flow rate, pressure, and timing of the processing gas supplied from the openings of the vertical gas supply paths 61a to 61d in the outermost gas diffusion chamber 36 are the same, whereby the processing gas flows in the outermost gas diffusion chamber 36. Evenly distributed.
  • the gas supply path 54 branches in an H shape in plan view, but the branching form of the gas supply path 54 is not limited to this, and the distance from the communication point 54 a to the tips of the branch paths 54 b to 54 e is as follows. If it is the same, it may be formed in any form.
  • the shower head 13 penetrates the lid plate 33, the cooling plate 32, and the gas distribution plates 31 to 29 from the connection portion 47 c in the thickness direction, and the gas supply path.
  • a vertical gas supply path 62 that communicates with a communication location 55 a that is an end of 55 is formed.
  • four vertical gas supply paths 63a to 63d are formed which pass through the gas distribution plate 28 in the thickness direction from the gas supply path 55 and open to the outermost gas diffusion chamber 36 (shown by broken lines in FIG. 9).
  • the four vertical gas supply paths 63a to 63d are arranged symmetrically with respect to the center of the outermost gas diffusion chamber 36 and are equally arranged in the circumferential direction.
  • the gas supply path 55 branches from the communication portion 55a to the respective vertical gas supply paths 63a to 63d on the upper surface of the gas distribution plate 28 into the respective branch paths 55b to 55e, and each vertical gas supply at the tip of each of the branch paths 55b to 55e.
  • the paths 63a to 63d are opened.
  • the vertical gas supply paths 63a to 63d are set to have the same cross-sectional area, and the branch paths 55b to 55e are also set to have the same cross-sectional area. Conductance to the opening in the outermost gas diffusion chamber 36 of ⁇ 63d is also the same.
  • the flow rate, pressure, and timing of the additional gas supplied from the openings of the vertical gas supply paths 63a to 63d in the outermost gas diffusion chamber 36 are the same. Evenly distributed.
  • the gas supply path 55 branches in an H shape in plan view, but the branching form of the gas supply path 55 is not limited to this, and the distance from the communication point 55a to the tip of each branch path 55b to 55e is If it is the same, it may be formed in any form.
  • each of the gas distribution plates 28 to 31 includes a processing gas supply source and an additional gas supply source to a peripheral gas diffusion chamber 35 and an outermost gas diffusion chamber.
  • One gas supply path 52 (53, 54 or 55) for supplying the processing gas or additional gas to any one of 36 is formed, that is, one gas supply path is formed in one gas distribution plate.
  • the gas supply channel 52 Each of .about.55 can supply the processing gas and the additional gas to the peripheral gas diffusion chamber 35 and the outermost gas diffusion chamber 36 independently of other gas supply paths.
  • the processing space S can be divided into more regions.
  • the conductance from the connecting portion 45b to the opening in the peripheral gas diffusion chamber 35 of each vertical gas supply path 57a to 57d is the same, and in the peripheral gas diffusion chamber 35 of each vertical gas supply path 59a to 59d from the connecting portion 47b.
  • the conductance to the opening is also the same.
  • the conductance from the connecting portion 45c to the opening in the outermost gas diffusion chamber 36 of each vertical gas supply path 61a to 61d is also the same, and the outermost gas diffusion chamber of each vertical gas supply path 63a to 63d from the connecting portion 47c.
  • the conductance up to the opening at 36 is also the same.
  • the processing gas and the additional gas are evenly distributed in the peripheral gas diffusion chamber 35 and the outermost gas diffusion chamber 36.
  • the processing gas and the additional gas are uniformly introduced into the peripheral portion and the outermost portion in the processing space S, and the plasma can be distributed more uniformly in the processing space S.
  • the plurality of gas diffusion chambers of the shower head 13 described above are concentric with the central gas diffusion chamber 34 of the disk-shaped space formed at the center of the gas distribution plate 28 that is a disk-shaped member, and the central gas diffusion chamber 34. It consists of a peripheral gas diffusion chamber 35 and an outermost gas diffusion chamber 36 which are two formed annular groove spaces. Therefore, the processing gas and the additional gas are introduced symmetrically into the processing space S through the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35 and the outermost gas diffusion chamber 36, and the plasma generated from the processing gas and the additional gas is processed. It can be distributed more reliably and uniformly in the space.
  • the peripheral gas diffusion chamber 35 and the outermost gas diffusion chamber 36 are formed so as to face the focus ring 20 and the shield ring 21 positioned outside the outer edge of the wafer W.
  • the distribution of the processing gas and additional gas introduced in the vicinity of the outer edge of the wafer W is controlled by controlling the flow rate of the processing gas and additional gas introduced from the outermost gas diffusion chamber 36 as well as the peripheral gas diffusion chamber 35.
  • the plasma distribution in the vicinity of the outer edge of the wafer W can be controlled in more detail.
  • additional gas is individually supplied to the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36.
  • the control can be performed for each of the peripheral portion and the outermost portion, so that the plasma distribution in the processing space S can be controlled in more detail.
  • the number of vertical gas supply paths corresponding to the gas distribution plates 28 to 31 is four.
  • the opening of each vertical gas supply path in the peripheral gas diffusion chamber 35 or the outermost gas diffusion chamber 36 is used.
  • the number of the vertical gas supply paths is not limited to this as long as the portions are arranged symmetrically with respect to the center of the peripheral gas diffusion chamber 35 or the outermost gas diffusion chamber 36 and are evenly arranged in the circumferential direction.
  • the gas distribution plates 28 to 31 may not be stacked in this order, as long as the vertical gas supply paths 56, 57a to 57d, 58, 59a to 59d, 60, 61a to 61d, 62, 63a to 63d do not interfere with each other.
  • the stacking order of the gas distribution plates 28 to 31 can be changed.
  • the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36 each comprise one space.
  • FIGS. A large number of annular groove spaces 64 are formed concentrically on the lower surface of the plate 28, and each annular groove space 64 is assigned to each of the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36.
  • the inner six annular groove spaces 64 constitute the central gas diffusion chamber 34
  • the three outer annular groove spaces 64 outside the central gas diffusion chamber 34 are the peripheral gas diffusion chambers.
  • one annular groove-like space 64 on the outermost periphery constitutes the outermost gas diffusion chamber 36.
  • the gas supply path 55 is omitted.
  • the shower head 71 includes a counter plate 27, two gas distribution plates 65 and 66 made of a disk-like member, a cooling plate 32, and a lid plate 33 stacked in order from the bottom.
  • a central gas diffusion chamber 34, a peripheral gas diffusion chamber 35, and an outermost gas diffusion chamber 36 are formed.
  • the gas distribution plate 66 distributes the processing gas introduced via the connecting portion 45 b on the surface on the lid plate 33 side (upper surface in FIG. 18) to the peripheral gas diffusion chamber 35.
  • the gas supply path 67 to be supplied is formed, and the gas supply path 68 for distributing and supplying the processing gas introduced through the connection portion 45c to the outermost gas diffusion chamber 36 is formed. Further, as shown in FIG. 17 and FIG. 19, the gas distribution plate 65 is supplied with the additional gas introduced through the connection portion 47 b on the surface on the lid plate 33 side (upper surface in FIG. 19). A gas supply path 69 is formed which is distributed and supplied. Further, the gas distribution plate 65 is formed with a gas supply path 70 that distributes and supplies the additional gas introduced through the connection portion 47 c to the outermost gas diffusion chamber 36. 16 to 19, some shapes are omitted for the sake of simplicity.
  • the shower head 71 has a vertical gas supply path 72 that communicates from the connection portion 45 b to the communication location 67 a that is the end of the gas supply path 67. It is formed.
  • two vertical gas supply paths 73a and 73b are formed which pass through the gas distribution plates 65 and 66 in the thickness direction from the gas supply path 67 and open to the peripheral gas diffusion chamber 35 (shown by broken lines in FIG. 16). .
  • the two vertical gas supply paths 73a and 73b are arranged symmetrically with respect to the center of the peripheral gas diffusion chamber 35 and are equally arranged in the circumferential direction (see FIG. 21).
  • the gas supply path 67 branches from the communication portion 67a to the respective vertical gas supply paths 73a and 73b on the upper surface of the gas distribution plate 66 into the respective branch paths 67b and 67c, and each vertical gas supply at the tip of each of the branch paths 67b and 67c.
  • the paths 73a and 73b are opened.
  • the distances from the communication point 67a to the tips of the branch paths 67b and 67c are set to be the same, the cross-sectional areas of the vertical gas supply paths 73a and 73b are set to be the same, and the branch paths 67b and 67c are disconnected. Since the areas are also set to be the same, the conductance from the connecting portion 45b to the opening in the peripheral gas diffusion chamber 35 of each vertical gas supply path 73a, 73b is the same.
  • the shower head 71 is formed with a vertical gas supply path 74 that communicates from the connection portion 45 c to the communication location 68 a that is the end of the gas supply path 68.
  • two vertical gas supply passages 75a and 75b are formed which extend from the gas supply passage 68 through the gas distribution plates 65 and 66 in the thickness direction and open to the outermost gas diffusion chamber 36 (shown by broken lines in FIG. 16).
  • the two vertical gas supply paths 75a and 75b are arranged symmetrically with respect to the center of the outermost gas diffusion chamber 36 and are equally arranged in the circumferential direction (see FIG. 21).
  • the gas supply path 68 is branched into the branch paths 68b and 68c from the communication point 68a toward the vertical gas supply paths 75a and 75b on the upper surface of the gas distribution plate 66, and the vertical gas supplies at the tips of the branch paths 68b and 68c.
  • the paths 75a and 75b are opened.
  • the distances from the communication point 68a to the tips of the branch paths 68b and 68c are set to be the same, the cross-sectional areas of the vertical gas supply paths 75a and 75b are set to be the same, and the branch paths 68b and 68c are disconnected. Since the areas are also set to be the same, the conductance from the connecting portion 45c to the opening in the outermost gas diffusion chamber 36 of each vertical gas supply path 75a, 75b is the same.
  • the gas supply path 67 is branched in a V shape in plan view, and the gas supply path 68 is also branched in a V shape in plan view, but the branching form of the gas supply paths 67 and 68 is not limited to this.
  • the distance from the communication point 67a to the tip of each branch path 67b, 67c is the same, and the distance from the communication point 68a to the tip of each branch path 68b, 68c is the same, it is formed in any form. Also good.
  • the shower head 71 is formed with a vertical gas supply path 76 that communicates from the connection portion 47b to the communication portion 69a that is the end of the gas supply path 69.
  • Two vertical gas supply passages 77a and 77b are formed which pass through the gas distribution plate 65 from the gas supply passage 69 in the thickness direction and open to the peripheral gas diffusion chamber 35 (shown by broken lines in FIG. 17).
  • the two vertical gas supply paths 77a and 77b are arranged symmetrically with respect to the center of the peripheral gas diffusion chamber 35 and are equally arranged in the circumferential direction (see FIG. 21).
  • the gas supply path 69 branches from the communication point 69a to the vertical gas supply paths 77a and 77b on the upper surface of the gas distribution plate 65 into the branch paths 69b and 69c, and the vertical gas supplies at the tips of the branch paths 69b and 69c.
  • the paths 77a and 77b are opened.
  • the distances from the communication point 69a to the tips of the branch paths 69b and 69c are set to be the same.
  • the cross-sectional areas of the vertical gas supply paths 77a and 77b are set to be the same, and the cross-sectional areas of the branch paths 69b and 69c are also set to be the same.
  • the conductance from the connecting portion 47b to the opening in the peripheral gas diffusion chamber 35 of each vertical gas supply path 77a, 77b is the same.
  • the shower head 71 is formed with a vertical gas supply path 78 that communicates from the connection portion 47c to the communication portion 70a that is the end of the gas supply path 70.
  • two vertical gas supply passages 79a and 79b are formed which penetrate from the gas supply passage 70 through the gas distribution plate 65 in the thickness direction and open to the outermost gas diffusion chamber 36 (shown by broken lines in FIG. 17).
  • the two vertical gas supply paths 79a and 79b are arranged symmetrically with respect to the center of the outermost gas diffusion chamber 36 and are equally arranged in the circumferential direction (see FIG. 21).
  • the gas supply path 70 is branched into the branch paths 70b and 70c from the communication point 70a toward the vertical gas supply paths 79a and 79b on the upper surface of the gas distribution plate 65, and the vertical gas supplies at the tips of the branch paths 70b and 70c.
  • the paths 79a and 79b are opened.
  • the distances from the communication point 70a to the tips of the branch paths 70b and 70c are set to be the same, and the cross-sectional areas of the vertical gas supply paths 79a and 79b are set to be the same.
  • the cross-sectional areas of the branch paths 70b and 70c are also set to be the same. Therefore, the conductance from the connecting portion 47c to the opening in the outermost gas diffusion chamber 36 of each vertical gas supply path 79a, 79b is the same.
  • the gas supply path 69 is branched in a V shape in plan view, and the gas supply path 70 is also branched in a V shape in plan view, but the branch form of the gas supply paths 69 and 70 is not limited to this.
  • the distance from the communication point 69a to the tip of each branch path 69b, 69c is the same, and the distance from the communication point 70a to the tip of each branch path 70b, 70c is the same, it is formed in any form. Also good.
  • the shower head 71 two gas supply paths are formed in one gas distribution plate.
  • the gas distribution plates 65 and 66 and the cooling plate 32 are provided.
  • the cover plate 33 is stacked and the arrangement positions of the gas supply paths 67 to 70 overlap in plan view, it is not necessary to consider interference between the gas supply paths 67 to 70. Therefore, by increasing the number of gas distribution plates, the number of gas supply paths can be easily increased, and the number of gas diffusion chambers can be increased to divide the processing space S into a larger number of regions.
  • the processing gas and the additional gas are evenly distributed.
  • the processing gas and the additional gas are evenly distributed.
  • Gas and additional gas may be evenly distributed.
  • the shower head 80 includes a counter plate 27, two gas distribution plates 81 and 82 made of a disk-like member, a cooling plate 32, and a lid, which are stacked in order from the bottom. It consists of a plate 33. Similar to the gas distribution plate 28, a central gas diffusion chamber 34, a peripheral gas diffusion chamber 35, and an outermost gas diffusion chamber 36 are formed in the gas distribution plate 81. Further, similarly to the gas distribution plate 81, the central gas diffusion chamber 34, the peripheral gas diffusion chamber 35, and the outermost gas diffusion chamber 36 are formed in the gas distribution plate 82.
  • the central gas diffusion chamber 34 of the gas distribution plate 81 (hereinafter referred to as “lower central gas diffusion chamber 34”) and the central gas diffusion chamber 34 of the gas distribution plate 82 (hereinafter referred to as “upper central gas diffusion chamber 34”).
  • lower central gas diffusion chamber 34 and the central gas diffusion chamber 34 of the gas distribution plate 82 (hereinafter referred to as “upper central gas diffusion chamber 34”).
  • upper central gas diffusion chamber 34 Are communicated by a plurality of vertical gas supply holes 83 that are arranged symmetrically with respect to the center of each central gas diffusion chamber 34 and are equally arranged in the circumferential direction.
  • the peripheral gas diffusion chamber 35 of the gas distribution plate 81 (hereinafter referred to as “lower peripheral gas diffusion chamber 35”) and the peripheral gas diffusion chamber 35 of the gas distribution plate 82 (hereinafter referred to as “upper peripheral gas diffusion chamber 35”).
  • each peripheral gas diffusion chamber 35 is communicated by a plurality of vertical gas supply holes 84 that are arranged symmetrically with respect to the center of each peripheral gas diffusion chamber 35 and that are equally arranged in the circumferential direction.
  • the outermost gas diffusion chamber 36 of the gas distribution plate 81 hereinafter referred to as “lower outermost gas diffusion chamber 36”
  • the outermost gas diffusion chamber 36 of the gas distribution plate 82 hereinafter referred to as “uppermost outer gas”.
  • the diffusion chambers 36 ”) are arranged symmetrically with respect to the center of each outermost gas diffusion chamber 36, and communicate with each other by a plurality of vertical gas supply holes 85 that are equally arranged in the circumferential direction.
  • the vertical gas supply is distributed uniformly in the circumferential direction.
  • the hole 83 is supplied to the lower central gas diffusion chamber 34.
  • the processing gas and additional gas supplied to the lower central gas diffusion chamber 34 are evenly distributed in the lower central gas diffusion chamber 34 by further free diffusion.
  • the vertical gas supply holes 84 that are uniformly distributed in the circumferential direction lower the gas. It is supplied to the peripheral gas diffusion chamber 35.
  • the processing gas and additional gas supplied to the lower peripheral gas diffusion chamber 35 are evenly distributed in the lower peripheral gas diffusion chamber 35 by further free diffusion.
  • the vertical gas supply holes 85 are evenly distributed in the circumferential direction. It is supplied to the lowermost outermost gas diffusion chamber 36.
  • the processing gas and additional gas supplied to the lower outermost gas diffusion chamber 36 are evenly distributed in the lower outermost gas diffusion chamber 36 by further free diffusion. That is, in the shower head 80, since the processing gas and the additional gas perform free diffusion twice, each gas diffusion chamber (the lower central gas diffusion chamber 34, the lower peripheral gas diffusion chamber 35, and the lower outermost gas diffusion chamber 36). ), The processing gas and the additional gas can be evenly distributed.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

処理空間においてより均一にプラズマを分布させることができるガス供給装置を提供する。処理ガス供給源や付加ガス供給源から処理空間Sへ処理ガスや付加ガスを供給するシャワーヘッド13(ガス供給装置)は、複数のガス分配板28~31と、冷却板32と、蓋板33とを備え、ガス分配板28~31、冷却板32、及び蓋板33が積層され、最下層のガス分配板28には、周縁ガス拡散室35及び最外ガス拡散室36が形成され、ガス分配板28~31の各々には、処理ガス供給源や付加ガス供給源から周縁ガス拡散室35及び最外ガス拡散室36のいずれかへ処理ガスや付加ガスを供給する少なくとも1つのガス供給路52(53,54又は55)が形成され、例えば、ガス分配板31のガス供給路52は複数の分岐路52b~52eに分岐し、処理ガス供給源から各分岐路52b~52eの先端までの距離は同一である。

Description

ガス供給装置及び基板処理装置
 本発明は、基板を処理する処理空間が分割されて形成された複数の領域にガスを分配して供給するガス供給装置及び基板処理装置に関する。
 基板としての半導体デバイス用ウエハ(以下、単に「ウエハ」という。)を処理室に収容し、該処理室内で生成されたプラズマによってウエハにプラズマ処理を施す基板処理装置では、ウエハに均一にプラズマ処理を施すために、処理室内のウエハに対向する処理空間において均一にプラズマを分布させる必要がある。
 プラズマの分布は処理空間における処理ガスの分布に左右されることから、処理空間を複数の領域に分割し、各領域に向けて導入される処理ガスの流量を制御可能な基板処理装置が提案されている(例えば、特許文献1参照)。
 上記基板処理装置では、処理空間に面するシャワーヘッド内に分割された複数の領域のそれぞれに対応して複数のガス拡散室が設けられ、各ガス拡散室に供給された処理ガスが多数のガス穴を介して各領域へ導入されるが、各ガス拡散室へ供給される処理ガスの流量が調整されることにより、各領域に向けて導入される処理ガスの流量が制御される。
 さらに、各領域において処理ガスを均等に分布させるためには、各ガス拡散室において処理ガスを均等に分布させるのが好ましく、そのために、各ガス拡散室へ処理ガスを供給するための複数のガス供給口を、当該ガス拡散室の中心に関して対称且つ均等に配置し、さらに、ガス供給源から各ガス供給口までの各ガス供給路の距離を同一にすることが提案されている(例えば、特許文献2参照。)。
 特許文献2では、図24に示す、上下に貫通する複数のガス供給口250a,250bが均等に配置された板状のマニフォールド(ガス分配板)251を設け、マニフォールド251の上面に分岐するガス供給溝252a,252bを形成し、ガス供給溝252a,252bの各々において、ガス供給源(図示しない)との連通箇所253a,253bから各ガス供給口250a,250bまでの距離が同一に設定される。
 これにより、各ガス供給口250aから処理ガスを同一タイミング且つ同一圧力で供給することができるとともに、各ガス供給口250bから処理ガスを同一タイミング且つ同一圧力で供給することができる。
特開2008−117477号公報 米国特許第7674394号公報
 しかしながら、今後ウエハのさらなる大口径化が進み、さらに、プラズマ処理、例えば、ドライエッチング処理による加工の微細化が要求されるため、処理空間においてより均一にプラズマを分布させる必要がある。そのため、シャワーヘッドにおいてガス拡散室の数を増やして処理空間をより数多くの領域に分割する必要があるが、特許文献2では、複数のガス供給溝を1つのガス分配板に形成するため、各ガス供給溝同士の干渉等を考慮すると、形成可能なガス供給溝の数が限られる。その結果、ガス供給溝の各々に対応するガス拡散室の数を増やすことができず、処理空間においてより均一にプラズマを分布させることができないという問題がある。
 本発明の目的は、処理空間においてより均一にプラズマを分布させることができるガス供給装置及び基板処理装置を提供することにある。
 本発明によれば、ガス供給源から処理空間へガスを供給するガス供給装置であって、前記処理空間に対向し且つ多数の貫通穴を有する対向板と、複数のガス分配板と、蓋板とを備え、前記対向板、前記複数のガス分配板、及び蓋板がこの順で積層され、最も前記対向板寄りの前記ガス分配板における前記対向板側の面には複数のガス拡散室が形成され、前記ガス分配板の各々には、前記ガス供給源から前記ガス拡散室のいずれかへ前記ガスを供給するガス供給路が少なくとも1つ形成され、前記ガス分配板の各々において、前記ガス供給路は複数の分岐路に分岐し、前記ガス供給源から各前記分岐路の先端までの距離は同一であることを特徴とするガス供給装置が提供される。
 本発明において、各前記分岐路のコンダクタンスは同一であるが好ましい。
 本発明において、前記ガス供給装置は前記処理空間を介して円板状の基板に対向し、前記複数のガス拡散室は複数の溝状空間を含み、前記複数の溝状空間は前記基板の外縁よりも外側に対向するように形成されるが好ましい。
 本発明において、前記複数のガス分配板の各々は円板状部材からなり、前記複数のガス拡散室は、前記円板状部材の中心に形成された円板状空間と、該円板状空間と同心に形成された前記複数の溝状空間とからなるが好ましい。
 本発明において、付加ガス供給源から前記複数のガス拡散室の各々へ個別に付加ガスが供給されるが好ましい。
 本発明によれば、処理空間へ基板を収容する処理室と、前記基板と対向するように配置され、且つガス供給源から前記処理空間へガスを供給するガス供給装置とを備える基板処理装置であって、前記ガス供給装置は、前記処理空間に対向し且つ多数の貫通穴を有する対向板と、複数のガス分配板と、蓋板とを有し、前記対向板、前記複数のガス分配板、及び蓋板がこの順で積層され、最も前記対向板寄りの前記ガス分配板における前記対向板側の面には複数のガス拡散室が形成され、前記ガス分配板の各々には、前記ガス供給源から前記ガス拡散室のいずれかへ前記ガスを供給するガス供給路が少なくとも1つ形成され、前記ガス分配板の各々において、前記ガス供給路は複数の分岐路に分岐し、前記ガス供給源から各前記分岐路の先端までの距離は同一であることを特徴とする基板処理装置が提供される。
 本発明によれば、ガス分配板の各々には、ガス供給源からガス拡散室のいずれかへガスを供給するガス供給路が少なくとも1つ形成されるので、ガス分配板を増やすことによってガス供給路を容易に増やすことができ、もって、ガス拡散室の数を増やして処理空間をより数多くの領域に分割することができる。また、ガス分配板の各々において、ガス供給路は複数の分岐路に分岐し、ガス供給源から各分岐路の先端までの距離は同一である。その結果、処理空間においてより均一にプラズマを分布させることができる。
本発明の実施の形態に係るガス供給装置を備える基板処理装置の構成を概略的に示す断面図である。 図1におけるシャワーヘッドの構成を概略的に示す斜視図である。 各ガス分配板、冷却板及び蓋板が積層された場合における各ガス供給路の配置の様子を示す平面図である。 最下層のガス分配板に形成された中央ガス拡散室、周縁ガス拡散室及び最外ガス拡散室の配置の様子を示す底面図である。 周縁ガス拡散室へ処理ガスを供給するガス供給路の配置の様子を示す平面図である。 図5におけるガス供給路の断面図である。 周縁ガス拡散室へ付加ガスを供給するガス供給路の配置の様子を示す平面図である。 最外ガス拡散室へ処理ガスを供給するガス供給路の配置の様子を示す平面図である。 最外ガス拡散室へ付加ガスを供給するガス供給路の配置の様子を示す平面図である。 周縁ガス拡散室へ処理ガスを供給するガス供給路の配置の様子を示す断面図である。 周縁ガス拡散室へ付加ガスを供給するガス供給路の配置の様子を示す断面図である。 最外ガス拡散室へ処理ガスを供給するガス供給路の配置の様子を示す断面図である。 最外ガス拡散室へ付加ガスを供給するガス供給路の配置の様子を示す断面図である。 最下層のガス分配板に形成された中央ガス拡散室、周縁ガス拡散室及び最外ガス拡散室の変形例の配置の様子を示す断面図である。 最下層のガス分配板に形成された中央ガス拡散室、周縁ガス拡散室及び最外ガス拡散室の変形例の配置の様子を示す底面図である。 本発明の実施の形態に係るガス供給装置の第1の変形例における周縁ガス拡散室及び最外ガス拡散室へ処理ガスを供給するガス供給路の配置の様子を示す平面図である。 第1の変形例における周縁ガス拡散室及び最外ガス拡散室へ付加ガスを供給するガス供給路の配置の様子を示す平面図である。 第1の変形例における周縁ガス拡散室及び最外ガス拡散室へ処理ガスを供給するガス供給路の配置の様子を示す断面図である。 第1の変形例における周縁ガス拡散室及び最外ガス拡散室へ付加ガスを供給するガス供給路の配置の様子を示す断面図である。 第1の変形例において各ガス分配板、冷却板及び蓋板が積層された場合における各ガス供給路の配置の様子を示す平面図である。 第1の変形例において最下層のガス分配板に形成された中央ガス拡散室、周縁ガス拡散室及び最外ガス拡散室の配置の様子を示す底面図である。 本発明の実施の形態に係るガス供給装置の第2の変形例における中央ガス拡散室、周縁ガス拡散室及び最外ガス拡散室の配置の様子を示す断面図である。 図22における線A−Aに沿う断面図である。 従来のガス分配板の構成を概略的に示す平面図である。
 以下、本発明の実施の形態について図面を参照しながら説明する。
 まず、本発明の実施の形態に係るガス供給装置を備える基板処理装置について説明する。
 図1は、本実施の形態に係るガス供給装置を備える基板処理装置の構成を概略的に示す断面図である。
 図1において、基板処理装置10は、ウエハWを収容するチャンバ11(処理室)と、該チャンバ11内の略中央に配置されてウエハWを載置する略円柱状の載置台12と、該載置台12と対向するようにチャンバ11の天井に配置されるシャワーヘッド13(ガス供給装置)と、チャンバ11内を排気する排気装置14とを備える。
 載置台12は、導電体、例えば、アルミからなる円柱状の基部15と、該基部15の上面に配置される静電チャック16と、基部15の周りを覆う絶縁体からなるシールド17を有する。また、静電チャック16には直流電源18に接続される静電電極板19が内蔵されている。さらに、載置台12は、静電チャック16に静電吸着されるウエハWの周りを囲むように配置される、半導体、例えば、シリコンからなるフォーカスリング20と、該フォーカスリング20の周りを囲む石英からなるシールドリング21とを有する。
 載置台12の基部15には給電棒22、及び下の整合器23を介して高周波電源24が接続され、該高周波電源24は基部15へ高周波電力を供給し、載置台12の周りには多数の貫通穴を有するリング板状の排気プレート25が配置される。
 シャワーヘッド13は、外部の処理ガス源及び付加ガス源から処理ガス及び付加ガスを載置台12及びシャワーヘッド13の間の処理空間Sに導入する。シャワーヘッド13の構成の詳細は後述する。
 基板処理装置10では、基部15へ供給された高周波電力によって処理空間Sに生じる電界が、処理空間Sに導入された処理ガスを励起してプラズマを発生させ、該プラズマによってウエハWに所定のプラズマ処理、例えば、ドライエッチング処理を施す。ウエハWへ所定のプラズマ処理を施す際、排気装置14はチャンバ11内を減圧し、排気プレート25は処理空間Sのプラズマが排気装置14へ流入するのを防止する。
 シャワーヘッド13は、処理空間Sに対向し、多数の貫通ガス穴26を有する対向板27と、4つのガス分配板28~31と、冷却板32と、蓋板33とを有する。対向板27、ガス分配板28~31、冷却板32及び蓋板33は全て外径が同一の円板状部材からなり、図2に示すように、シャワーヘッド13においてこの順で積層される。
 最も対向板27寄りのガス分配板28における対向板27側の面(図1における下面)には、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36が形成される。図4に示すように、中央ガス拡散室34はガス分配板28の中心に形成された円板状空間からなり、周縁ガス拡散室35及び最外ガス拡散室36は、中央ガス拡散室34と同心に形成された2つの環溝状空間からなる。中央ガス拡散室34及び周縁ガス拡散室35の間には壁部37が形成され、且つ周縁ガス拡散室35及び最外ガス拡散室36の間には壁部38が形成されるため、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ供給された処理ガスや付加ガスが混合されることはない。また、図1に示すように、周縁ガス拡散室35は載置台12に載置されたウエハWと対向するように形成され、周縁ガス拡散室35及び最外ガス拡散室36は、ウエハWに対向せず、フォーカスリング20やシールドリング21と対向するように形成される。
 さらに、シャワーヘッド13では、冷却板32の内部には冷媒通路32aが設けられ、該冷媒通路32a内を流れる冷媒によって冷却板32、引いてはシャワーヘッド13全体の温度が調整される。
 シャワーヘッド13には、処理ガス源に接続されて処理ガスを導入する処理ガス導入系39と、付加ガス源に接続されて付加ガスを導入する付加ガス導入系40とが接続される。処理ガス導入系39において、処理ガス源に接続された配管41は分流器42によって2つの配管43,44に分岐され、配管44はさらに2つの配管44a,44bに分岐される。配管43,44a,44bはそれぞれ接続部45a~45cを介して蓋板33へ接続され、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ処理ガスを供給する。また、付加ガス導入系40において、付加ガス源に接続された配管46は3つの配管46a~46cに分岐される。配管46a~46cはそれぞれ接続部47a~47cを介して蓋板33へ接続され、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ付加ガスを供給する。
 処理ガス導入系39では、配管44bにバルブ48が配され、分流器42による処理ガスの分配調整やバルブ48の開閉によって中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ供給される処理ガスの流量が個別に調整される。中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ供給された処理ガスは対向板27の各貫通ガス穴26を介して処理空間Sへ導入されるが、上述したように、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ供給される処理ガスの流量が個別に調整されるので、処理空間Sにおける中央ガス拡散室34に対向する部分(以下、「中央部分」という。)、周縁ガス拡散室35に対向する部分(以下、「周縁部分」という。)、及び最外ガス拡散室36に対向する部分(以下、「最外部分」という。)へ導入される処理ガスの流量も個別に制御される。すなわち、処理空間Sが3つの部分(中央部分、周縁部分、最外部分)に分割され、中央部分、周縁部分、最外部分へ導入される処理ガスの流量は個別に制御される。
 付加ガス導入系40では、配管46aにバルブ49aが配され、且つ配管46cにバルブ49cが配され、バルブ49a,49cの開閉によって中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ供給される付加ガスの流量が個別に調整される。中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ供給された付加ガスは対向板27の各貫通ガス穴26を介して処理空間Sへ導入されるが、上述したように、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36へ供給される付加ガスの流量が個別に調整されるので、処理空間Sにおける中央部分、周縁部分、及び最外部分へ導入される付加ガスの流量も個別に制御される。すなわち、付加ガスに関しても、処理空間Sにおける中央部分、周縁部分、最外部分へ導入される付加ガスの流量が個別に制御される。
 シャワーヘッド13では、接続部45a及び接続部47aが蓋板33の略中央に配置され、接続部45a及び接続部47aの各々から中央ガス拡散室34に向けて該シャワーヘッド13を厚み方向に貫通する垂直ガス供給路50,51が形成される。垂直ガス供給路50,51の各々は、図4に示すように、中央ガス拡散室34の略中央に開口するので、垂直ガス供給路50,51を介して中央ガス拡散室34へ供給される処理ガス及び付加ガスは中央ガス拡散室34において均等に自由拡散して分布する。その結果、中央ガス拡散室34に対応する各貫通ガス穴26から処理空間Sの中央部分へ均等に処理ガス及び付加ガスが導入されるため、該中央部分において処理ガスや付加ガスを均等に分布させ、さらには、プラズマを均一に分布させることができる。
 また、シャワーヘッド13のガス分配板28~31のそれぞれには、周縁ガス拡散室35や最外ガス拡散室36へ処理ガス又は付加ガスを分配して供給するガス供給路が1つずつ形成される。
 具体的には、ガス分配板31には、図5や図10に示すように、蓋板33側の面(図10における上面)において、接続部45bを介して導入された処理ガスを周縁ガス拡散室35へ分配して供給するガス供給路52が形成される。ガス分配板30には、図7や図11に示すように、蓋板33側の面(図11における上面)において、接続部47bを介して導入された付加ガスを周縁ガス拡散室35へ分配して供給するガス供給路53が形成される。ガス分配板29には、図8や図12に示すように、蓋板33側の面(図12における上面)において、接続部45cを介して導入された処理ガスを最外ガス拡散室36へ分配して供給するガス供給路54が形成される。また、ガス分配板28には、図9や図13に示すように、蓋板33側の面(図13における上面)において、接続部47cを介して導入された付加ガスを最外ガス拡散室36へ分配して供給するガス供給路55が形成される。すなわち、1つのガス分配板に1つのガス供給路が形成される。なお、図5,7~13では説明を簡単にするために一部の形状が省略され、各ガス供給路52~55の断面は、図6に示すように、U字形状を呈し、幅及び深さはそれぞれ5~10mmに設定される。
 より、具体的には、周縁ガス拡散室35へ供給される処理ガスに関し、シャワーヘッド13では、接続部45bから蓋板33及び冷却板32を厚み方向に貫通し、且つガス供給路52の端部である連通箇所52aに連通する垂直ガス供給路56が形成される。また、ガス供給路52からガス分配板28~31を厚み方向に貫通して周縁ガス拡散室35(図5において破線で示す。)に開口する4つの垂直ガス供給路57a~57dが形成される。4つの垂直ガス供給路57a~57dは、周縁ガス拡散室35の中心に関して対称に配置され、且つ周方向に均等に配置される。
 ガス供給路52はガス分配板31の上面において連通箇所52aから各垂直ガス供給路57a~57dへ向けて各分岐路52b~52eに分岐し、各分岐路52b~52eの先端において各垂直ガス供給路57a~57dが開口する。シャワーヘッド13では、連通箇所52aから各分岐路52b~52eの先端までの距離が同一に設定されるので、接続部45bから各垂直ガス供給路57a~57dの周縁ガス拡散室35における開口部までの距離は同一となる。また、シャワーヘッド13では、垂直ガス供給路57a~57dの断面積は同一に設定され、且つ分岐路52b~52eの断面積も同一に設定されるため、接続部45bから各垂直ガス供給路57a~57dの周縁ガス拡散室35における開口部までのコンダクタンスも同一となる。
 その結果、周縁ガス拡散室35において各垂直ガス供給路57a~57dの開口部から供給される処理ガスの流量、圧力及びタイミングが同一となり、これにより、周縁ガス拡散室35において処理ガスが均等に分布する。
 なお、図5において、ガス供給路52は平面視H字状に分岐するが、ガス供給路52の分岐形態はこれに限られず、連通箇所52aから各分岐路52b~52eの先端までの距離が同一であれば、いずれの形態で形成されてもよい。
 周縁ガス拡散室35へ供給される付加ガスに関し、シャワーヘッド13では、接続部47bから蓋板33、冷却板32及びガス分配板31を厚み方向に貫通し、且つガス供給路53の端部である連通箇所53aに連通する垂直ガス供給路58が形成される。また、ガス供給路53からガス分配板28~30を厚み方向に貫通して周縁ガス拡散室35(図7において破線で示す。)に開口する4つの垂直ガス供給路59a~59dが形成される。4つの垂直ガス供給路59a~59dは、周縁ガス拡散室35の中心に関して対称に配置され、且つ周方向に均等に配置される。
 ガス供給路53はガス分配板30の上面において連通箇所53aから各垂直ガス供給路59a~59dへ向けて各分岐路53b~53eに分岐し、各分岐路53b~53eの先端において各垂直ガス供給路59a~59dが開口する。シャワーヘッド13では、連通箇所53aから各分岐路53b~53eの先端までの距離が同一に設定されるので、接続部47bから各垂直ガス供給路59a~59dの周縁ガス拡散室35における開口部までの距離は同一となる。また、シャワーヘッド13では、垂直ガス供給路59a~59dの断面積は同一に設定され、且つ分岐路53b~53eの断面積も同一に設定されるため、接続部47bから各垂直ガス供給路59a~59dの周縁ガス拡散室35における開口部までのコンダクタンスも同一となる。
 その結果、周縁ガス拡散室35において各垂直ガス供給路59a~59dの開口部から供給される付加ガスの流量、圧力及びタイミングが同一となり、これにより、周縁ガス拡散室35において付加ガスが均等に分布する。
 なお、図7において、ガス供給路53は平面視H字状に分岐するが、ガス供給路53の分岐形態はこれに限られず、連通箇所53aから各分岐路53b~53eの先端までの距離が同一であれば、いずれの形態で形成されてもよい。
 最外ガス拡散室36へ供給される処理ガスに関し、シャワーヘッド13では、接続部45cから蓋板33、冷却板32及びガス分配板31,30を厚み方向に貫通し、且つガス供給路54の端部である連通箇所54aに連通する垂直ガス供給路60が形成される。また、ガス供給路54からガス分配板28,29を厚み方向に貫通して最外ガス拡散室36(図8において破線で示す。)に開口する4つの垂直ガス供給路61a~61dが形成される。4つの垂直ガス供給路61a~61dは、最外ガス拡散室36の中心に関して対称に配置され、且つ周方向に均等に配置される。
 ガス供給路54はガス分配板29の上面において連通箇所54aから各垂直ガス供給路61a~61dへ向けて各分岐路54b~54eに分岐し、各分岐路54b~54eの先端において各垂直ガス供給路61a~61dが開口する。シャワーヘッド13では、連通箇所54aから各分岐路54b~54eの先端までの距離が同一に設定されるので、接続部45cから各垂直ガス供給路61a~61dの最外ガス拡散室36における開口部までの距離は同一となる。また、シャワーヘッド13では、垂直ガス供給路61a~61dの断面積は同一に設定され、且つ分岐路54b~54eの断面積も同一に設定されるため、接続部45cから各垂直ガス供給路61a~61dの最外ガス拡散室36における開口部までのコンダクタンスも同一となる。
 その結果、最外ガス拡散室36において各垂直ガス供給路61a~61dの開口部から供給される処理ガスの流量、圧力及びタイミングが同一となり、これにより、最外ガス拡散室36において処理ガスが均等に分布する。
 なお、図8において、ガス供給路54は平面視H字状に分岐するが、ガス供給路54の分岐形態はこれに限られず、連通箇所54aから各分岐路54b~54eの先端までの距離が同一であれば、いずれの形態で形成されてもよい。
 また、最外ガス拡散室36へ供給される付加ガスに関し、シャワーヘッド13では、接続部47cから蓋板33、冷却板32及びガス分配板31~29を厚み方向に貫通し、且つガス供給路55の端部である連通箇所55aに連通する垂直ガス供給路62が形成される。また、ガス供給路55からガス分配板28を厚み方向に貫通して最外ガス拡散室36(図9において破線で示す。)に開口する4つの垂直ガス供給路63a~63dが形成される。4つの垂直ガス供給路63a~63dは、最外ガス拡散室36の中心に関して対称に配置され、且つ周方向に均等に配置される。
 ガス供給路55はガス分配板28の上面において連通箇所55aから各垂直ガス供給路63a~63dへ向けて各分岐路55b~55eに分岐し、各分岐路55b~55eの先端において各垂直ガス供給路63a~63dが開口する。シャワーヘッド13では、連通箇所55aから各分岐路55b~55eの先端までの距離が同一に設定されるので、接続部47cから各垂直ガス供給路63a~63dの最外ガス拡散室36における開口部までの距離は同一となる。また、シャワーヘッド13では、垂直ガス供給路63a~63dの断面積は同一に設定され、且つ分岐路55b~55eの断面積も同一に設定されるため、接続部47cから各垂直ガス供給路63a~63dの最外ガス拡散室36における開口部までのコンダクタンスも同一となる。
 その結果、最外ガス拡散室36において各垂直ガス供給路63a~63dの開口部から供給される付加ガスの流量、圧力及びタイミングが同一となり、これにより、最外ガス拡散室36において付加ガスが均等に分布する。
 なお、図9において、ガス供給路55は平面視H字状に分岐するが、ガス供給路55の分岐形態はこれに限られず、連通箇所55aから各分岐路55b~55eの先端までの距離が同一であれば、いずれの形態で形成されてもよい。
 本実施の形態に係るガス供給装置としてのシャワーヘッド13によれば、ガス分配板28~31の各々には、処理ガス供給源や付加ガス供給源から周縁ガス拡散室35や最外ガス拡散室36のいずれかへ処理ガス又は付加ガスを供給する1つのガス供給路52(53,54又は55)が形成される、すなわち、1つのガス分配板に1つのガス供給路が形成されるので、図3に示すように、ガス分配板28~31、冷却板32及び蓋板33が積層されてガス供給路52~55の配置箇所が平面視において重なった場合であっても、ガス供給路52~55の各々は、他のガス供給路から独立して処理ガスや付加ガスを周縁ガス拡散室35や最外ガス拡散室36へ供給することができる。換言すれば、シャワーヘッド13では、各ガス供給路同士の干渉等を考慮する必要がないので、ガス分配板を増やすことによってガス供給路を容易に増やすことができ、もって、ガス拡散室の数を増やして処理空間Sをより数多くの領域に分割することができる。
 また、接続部45bから各垂直ガス供給路57a~57dの周縁ガス拡散室35における開口部までのコンダクタンスも同一とされ、接続部47bから各垂直ガス供給路59a~59dの周縁ガス拡散室35における開口部までのコンダクタンスも同一とされる。さらに、接続部45cから各垂直ガス供給路61a~61dの最外ガス拡散室36における開口部までのコンダクタンスも同一とされ、接続部47cから各垂直ガス供給路63a~63dの最外ガス拡散室36における開口部までのコンダクタンスも同一とされる。その結果、周縁ガス拡散室35や最外ガス拡散室36において処理ガスや付加ガスが均等に分布する。その結果、処理空間Sにおける周縁部分や最外部分へ均等に処理ガス及び付加ガスが導入され、処理空間Sにおいてより均一にプラズマを分布させることができる。
 上述したシャワーヘッド13の複数のガス拡散室は、円板状部材であるガス分配板28の中心に形成された円板状空間の中央ガス拡散室34と、該中央ガス拡散室34と同心に形成された2つの環溝状空間である周縁ガス拡散室35や最外ガス拡散室36とからなる。よって、処理ガスや付加ガスは中央ガス拡散室34、周縁ガス拡散室35や最外ガス拡散室36を介して処理空間Sへ対称に導入され、処理ガスや付加ガスから生成されるプラズマを処理空間においてより確実に均一に分布させることができる。
 また、上述したシャワーヘッド13では、周縁ガス拡散室35や最外ガス拡散室36は、ウエハWの外縁よりも外側に位置するフォーカスリング20やシールドリング21と対向するように形成される。これにより、周縁ガス拡散室35だけでなく最外ガス拡散室36から導入される処理ガスや付加ガスの流量を制御することによってウエハWの外縁近傍において導入される処理ガスや付加ガスの分布を細かく制御することができ、もって、ウエハWの外縁近傍におけるプラズマの分布をより詳細に制御することができる。
 さらに、上述したシャワーヘッド13では、中央ガス拡散室34、周縁ガス拡散室35や最外ガス拡散室36へ個別に付加ガスが供給されるので、付加ガスの影響を処理空間Sにおける中央部分、周縁部分及び最外部分毎に制御することができ、もって、処理空間Sにおいてプラズマの分布をより詳細に制御することができる。
 上述したシャワーヘッド13では、各ガス分配板28~31に対応する垂直ガス供給路の数は4であったが、周縁ガス拡散室35又は最外ガス拡散室36における各垂直ガス供給路の開口部が周縁ガス拡散室35又は最外ガス拡散室36の中心に関して対称に配置され、且つ周方向に均等に配置されれば、垂直ガス供給路の数はこれに限られない。また、ガス分配板28~31はこの順に積層されなくてもよく、各垂直ガス供給路56,57a~57d,58,59a~59d,60,61a~61d,62,63a~63dが干渉しない限り、ガス分配板28~31の積層順序は変更することができる。
 さらに、上述したシャワーヘッド13では、中央ガス拡散室34、周縁ガス拡散室35や最外ガス拡散室36がそれぞれ1つの空間からなるが、例えば、図14及び図15に示すように、ガス分配板28の下面に多数の環溝状空間64を同心状に形成し、各環溝状空間64を中央ガス拡散室34、周縁ガス拡散室35や最外ガス拡散室36の各々に割り当ててもよい。例えば、図14及び図15では、内側の6本の環溝状空間64が中央ガス拡散室34を構成し、中央ガス拡散室34の外側の3本の環溝状空間64が周縁ガス拡散室35を構成し、且つ最外周の1本の環溝状空間64が最外ガス拡散室36を構成する。なお、図14では、ガス供給路55が省略されている。
 以上、本発明について、実施の形態を用いて説明したが、本発明は上述した実施の形態に限定されるものではない。
 例えば、上述したシャワーヘッド13では、1つのガス分配板に1つのガス供給路が形成されたが、1つのガス分配板に2つのガス供給路が形成されてもよい。具体的には、シャワーヘッド71が、下から順に積層された対向板27、円板状部材からなる2つのガス分配板65,66、冷却板32及び蓋板33からなり、ガス分配板65には、ガス分配板28と同様に、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36が形成される。ガス分配板66には、図16や図18に示すように、蓋板33側の面(図18における上面)において、接続部45bを介して導入された処理ガスを周縁ガス拡散室35へ分配して供給するガス供給路67が形成されるとともに、接続部45cを介して導入された処理ガスを最外ガス拡散室36へ分配して供給するガス供給路68が形成される。また、ガス分配板65には、図17や図19に示すように、蓋板33側の面(図19における上面)において、接続部47bを介して導入された付加ガスを周縁ガス拡散室35へ分配して供給するガス供給路69が形成される。さらに、ガス分散板65には、接続部47cを介して導入された付加ガスを最外ガス拡散室36へ分配して供給するガス供給路70が形成される。なお、図16~19では説明を簡単にするために一部の形状が省略される。
 より、具体的には、周縁ガス拡散室35へ供給される処理ガスに関し、シャワーヘッド71では、接続部45bからガス供給路67の端部である連通箇所67aに連通する垂直ガス供給路72が形成される。また、ガス供給路67からガス分配板65,66を厚み方向に貫通して周縁ガス拡散室35(図16において破線で示す。)に開口する2つの垂直ガス供給路73a,73bが形成される。2つの垂直ガス供給路73a,73bは、周縁ガス拡散室35の中心に関して対称に配置され、且つ周方向に均等に配置される(図21参照。)。
 ガス供給路67はガス分配板66の上面において連通箇所67aから各垂直ガス供給路73a,73bへ向けて各分岐路67b,67cに分岐し、各分岐路67b,67cの先端において各垂直ガス供給路73a,73bが開口する。シャワーヘッド71では、連通箇所67aから各分岐路67b,67cの先端までの距離が同一に設定され、垂直ガス供給路73a,73bの断面積は同一に設定され、且つ分岐路67b,67cの断面積も同一に設定されるため、接続部45bから各垂直ガス供給路73a,73bの周縁ガス拡散室35における開口部までのコンダクタンスは同一となる。
 また、最外ガス拡散室36へ供給される処理ガスに関し、シャワーヘッド71では、接続部45cからガス供給路68の端部である連通箇所68aに連通する垂直ガス供給路74が形成される。また、ガス供給路68からガス分配板65,66を厚み方向に貫通して最外ガス拡散室36(図16において破線で示す。)に開口する2つの垂直ガス供給路75a,75bが形成される。2つの垂直ガス供給路75a,75bは、最外ガス拡散室36の中心に関して対称に配置され、且つ周方向に均等に配置される(図21参照。)。
 ガス供給路68はガス分配板66の上面において連通箇所68aから各垂直ガス供給路75a,75bへ向けて各分岐路68b,68cに分岐し、各分岐路68b,68cの先端において各垂直ガス供給路75a,75bが開口する。シャワーヘッド71では、連通箇所68aから各分岐路68b,68cの先端までの距離が同一に設定され、垂直ガス供給路75a,75bの断面積は同一に設定され、且つ分岐路68b,68cの断面積も同一に設定されるため、接続部45cから各垂直ガス供給路75a,75bの最外ガス拡散室36における開口部までのコンダクタンスは同一となる。
 なお、図16において、ガス供給路67は平面視V字状に分岐し、ガス供給路68も平面視V字状に分岐するが、ガス供給路67,68の分岐形態はこれに限られず、連通箇所67aから各分岐路67b,67cの先端までの距離が同一であり、また、連通箇所68aから各分岐路68b,68cの先端までの距離が同一であれば、いずれの形態で形成されてもよい。
 また、周縁ガス拡散室35へ供給される付加ガスに関し、シャワーヘッド71では、接続部47bからガス供給路69の端部である連通箇所69aに連通する垂直ガス供給路76が形成され、また、ガス供給路69からガス分配板65を厚み方向に貫通して周縁ガス拡散室35(図17において破線で示す。)に開口する2つの垂直ガス供給路77a,77bが形成される。2つの垂直ガス供給路77a,77bは、周縁ガス拡散室35の中心に関して対称に配置され、且つ周方向に均等に配置される(図21参照。)。ガス供給路69はガス分配板65の上面において連通箇所69aから各垂直ガス供給路77a,77bへ向けて各分岐路69b,69cに分岐し、各分岐路69b,69cの先端において各垂直ガス供給路77a,77bが開口する。シャワーヘッド71では、連通箇所69aから各分岐路69b,69cの先端までの距離が同一に設定される。また、垂直ガス供給路77a,77bの断面積は同一に設定され、且つ分岐路69b,69cの断面積も同一に設定される。その結果、接続部47bから各垂直ガス供給路77a,77bの周縁ガス拡散室35における開口部までのコンダクタンスは同一となる。
 また、最外ガス拡散室36へ供給される付加ガスに関し、シャワーヘッド71では、接続部47cからガス供給路70の端部である連通箇所70aに連通する垂直ガス供給路78が形成される。また、ガス供給路70からガス分配板65を厚み方向に貫通して最外ガス拡散室36(図17において破線で示す。)に開口する2つの垂直ガス供給路79a,79bが形成される。2つの垂直ガス供給路79a,79bは、最外ガス拡散室36の中心に関して対称に配置され、且つ周方向に均等に配置される(図21参照。)。
 ガス供給路70はガス分配板65の上面において連通箇所70aから各垂直ガス供給路79a,79bへ向けて各分岐路70b,70cに分岐し、各分岐路70b,70cの先端において各垂直ガス供給路79a,79bが開口する。シャワーヘッド71では、連通箇所70aから各分岐路70b,70cの先端までの距離が同一に設定され、垂直ガス供給路79a,79bの断面積は同一に設定される。また、分岐路70b,70cの断面積も同一に設定される。したがって、接続部47cから各垂直ガス供給路79a,79bの最外ガス拡散室36における開口部までのコンダクタンスは同一となる。
 なお、図17において、ガス供給路69は平面視V字状に分岐し、ガス供給路70も平面視V字状に分岐するが、ガス供給路69,70の分岐形態はこれに限られず、連通箇所69aから各分岐路69b,69cの先端までの距離が同一であり、また、連通箇所70aから各分岐路70b,70cの先端までの距離が同一であれば、いずれの形態で形成されてもよい。
 シャワーヘッド71では、1つのガス分配板に2つのガス供給路が形成されるが、当該ガス分配板を複数積層することにより、図20に示すように、ガス分配板65,66、冷却板32及び蓋板33が積層されてガス供給路67~70の配置箇所が平面視において重なった場合であっても、各ガス供給路67~70同士の干渉等を考慮する必要がない。よって、ガス分配板を増やすことによってガス供給路を容易に増やすことができ、ガス拡散室の数を増やして処理空間Sをより数多くの領域に分割することができる。
 上述したシャワーヘッド13やシャワーヘッド71では、ガス分配板に形成されたガス供給路を用いて周縁ガス拡散室35や最外ガス拡散室36へ均等に処理ガスや付加ガスを供給することにより、周縁ガス拡散室35や最外ガス拡散室36において処理ガスや付加ガスを均等に分布させたが、上下に配置されて互いに連通する2つのガス拡散室を設けることにより、各ガス拡散室において処理ガスや付加ガスを均等に分布させてもよい。
 具体的には、図22や図23に示すように、シャワーヘッド80が、下から順に積層された対向板27、円板状部材からなる2つのガス分配板81,82、冷却板32及び蓋板33からなる。ガス分配板81には、ガス分配板28と同様に、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36が形成される。また、ガス分配板82にも、ガス分配板81と同様に、中央ガス拡散室34、周縁ガス拡散室35及び最外ガス拡散室36が形成される。
 ガス分配板81の中央ガス拡散室34(以下、「下の中央ガス拡散室34」という。)及びガス分配板82の中央ガス拡散室34(以下、「上の中央ガス拡散室34」という。)は、各中央ガス拡散室34の中心に関して対称に配置され、且つ周方向に均等に配置される複数の垂直ガス供給穴83によって連通する。また、ガス分配板81の周縁ガス拡散室35(以下、「下の周縁ガス拡散室35」という。)及びガス分配板82の周縁ガス拡散室35(以下、「上の周縁ガス拡散室35」という。)は、各周縁ガス拡散室35の中心に関して対称に配置され、且つ周方向に均等に配置される複数の垂直ガス供給穴84によって連通する。さらに、ガス分配板81の最外ガス拡散室36(以下、「下の最外ガス拡散室36」という。)及びガス分配板82の最外ガス拡散室36(以下、「上の最外ガス拡散室36」という。)は、各最外ガス拡散室36の中心に関して対称に配置され、且つ周方向に均等に配置される複数の垂直ガス供給穴85によって連通する。
 シャワーヘッド80では、上の中央ガス拡散室34に供給された処理ガスや付加ガスが自由拡散によって上の中央ガス拡散室34においてある程度均等に分布した後、周方向に均等に分布する垂直ガス供給穴83によって下の中央ガス拡散室34へ供給される。また、下の中央ガス拡散室34に供給された処理ガスや付加ガスはさらなる自由拡散によって下の中央ガス拡散室34において均等に分布する。上の周縁ガス拡散室35に供給された処理ガスや付加ガスが自由拡散によって上の周縁ガス拡散室35においてある程度均等に分布した後、周方向に均等に分布する垂直ガス供給穴84によって下の周縁ガス拡散室35へ供給される。また、下の周縁ガス拡散室35に供給された処理ガスや付加ガスはさらなる自由拡散によって下の周縁ガス拡散室35において均等に分布する。上の最外ガス拡散室36に供給された処理ガスや付加ガスが自由拡散によって上の最外ガス拡散室36においてある程度均等に分布した後、周方向に均等に分布する垂直ガス供給穴85によって下の最外ガス拡散室36へ供給される。また、下の最外ガス拡散室36に供給された処理ガスや付加ガスはさらなる自由拡散によって下の最外ガス拡散室36において均等に分布する。すなわち、シャワーヘッド80では、処理ガスや付加ガスが自由拡散を2回行うため、各ガス拡散室(下の中央ガス拡散室34、下の周縁ガス拡散室35、下の最外ガス拡散室36)において処理ガスや付加ガスを均等に分布させることができる。
 本出願は、2012年5月11日に出願された日本特許出願第2012−109798号及び2012年5月18日に仮出願された米国仮特許出願第61/648,701号に基づく優先権を主張するものであり、当該日本特許出願及び米国仮特許出願に記載された全内容を本出願に援用する。
S 処理空間
W ウエハ
10 基板処理装置
11 チャンバ
13,71,80 シャワーヘッド
28~31,65,66,81,82 ガス分配板
34 中央ガス拡散室
35 周縁ガス拡散室
36 最外ガス拡散室
39 処理ガス導入系
40 付加ガス導入系
52~55,67~70 ガス供給路

Claims (6)

  1.  ガス供給源から処理空間へガスを供給するガス供給装置であって、
     前記処理空間に対向し且つ多数の貫通穴を有する対向板と、複数のガス分配板と、蓋板とを備え、
     前記対向板、前記複数のガス分配板、及び蓋板がこの順で積層され、
     最も前記対向板寄りの前記ガス分配板における前記対向板側の面には複数のガス拡散室が形成され、
     前記ガス分配板の各々には、前記ガス供給源から前記ガス拡散室のいずれかへ前記ガスを供給するガス供給路が少なくとも1つ形成され、
     前記ガス分配板の各々において、前記ガス供給路は複数の分岐路に分岐し、前記ガス供給源から各前記分岐路の先端までの距離は同一であることを特徴とするガス供給装置。
  2.  各前記分岐路のコンダクタンスは同一であることを特徴とする請求項1記載のガス供給装置。
  3.  前記ガス供給装置は前記処理空間を介して円板状の基板に対向し、
     前記複数のガス拡散室は複数の溝状空間を含み、
     前記複数の溝状空間は前記基板の外縁よりも外側に対向するように形成されることを特徴とする請求項1記載のガス供給装置。
  4.  前記複数のガス分配板の各々は円板状部材からなり、前記複数のガス拡散室は、前記円板状部材の中心に形成された円板状空間と、該円板状空間と同心に形成された前記複数の溝状空間とからなることを特徴とする請求項1記載のガス供給装置。
  5.  付加ガス供給源から前記複数のガス拡散室の各々へ個別に付加ガスが供給されることを特徴とする請求項1記載のガス供給装置。
  6.  処理空間へ基板を収容する処理室と、前記基板と対向するように配置され、且つガス供給源から前記処理空間へガスを供給するガス供給装置とを備える基板処理装置であって、
     前記ガス供給装置は、前記処理空間に対向し且つ多数の貫通穴を有する対向板と、複数のガス分配板と、蓋板とを有し、
     前記対向板、前記複数のガス分配板、及び蓋板がこの順で積層され、
     最も前記対向板寄りの前記ガス分配板における前記対向板側の面には複数のガス拡散室が形成され、
     前記ガス分配板の各々には、前記ガス供給源から前記ガス拡散室のいずれかへ前記ガスを供給するガス供給路が少なくとも1つ形成され、
     前記ガス分配板の各々において、前記ガス供給路は複数の分岐路に分岐し、前記ガス供給源から各前記分岐路の先端までの距離は同一であることを特徴とする基板処理装置。
PCT/JP2013/063616 2012-05-11 2013-05-09 ガス供給装置及び基板処理装置 WO2013168825A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/391,482 US9887108B2 (en) 2012-05-11 2013-05-09 Gas supply device and substrate processing apparatus
CN201380019330.9A CN104205309B (zh) 2012-05-11 2013-05-09 气体供给装置和基板处理装置
KR1020147028375A KR102070702B1 (ko) 2012-05-11 2013-05-09 가스 공급 장치 및 기판 처리 장치
US15/852,194 US10199241B2 (en) 2012-05-11 2017-12-22 Gas supply device and substrate processing apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2012109798A JP6157061B2 (ja) 2012-05-11 2012-05-11 ガス供給装置及び基板処理装置
JP2012-109798 2012-05-11
US201261648701P 2012-05-18 2012-05-18
US61/648,701 2012-05-18

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US14/391,482 A-371-Of-International US9887108B2 (en) 2012-05-11 2013-05-09 Gas supply device and substrate processing apparatus
US15/852,194 Continuation US10199241B2 (en) 2012-05-11 2017-12-22 Gas supply device and substrate processing apparatus

Publications (1)

Publication Number Publication Date
WO2013168825A1 true WO2013168825A1 (ja) 2013-11-14

Family

ID=49550852

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/063616 WO2013168825A1 (ja) 2012-05-11 2013-05-09 ガス供給装置及び基板処理装置

Country Status (6)

Country Link
US (2) US9887108B2 (ja)
JP (1) JP6157061B2 (ja)
KR (1) KR102070702B1 (ja)
CN (1) CN104205309B (ja)
TW (1) TWI611039B (ja)
WO (1) WO2013168825A1 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
JP6169040B2 (ja) * 2014-05-12 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置の上部電極構造、プラズマ処理装置、及びプラズマ処理装置の運用方法
JP2016225018A (ja) * 2015-05-27 2016-12-28 東京エレクトロン株式会社 ガス処理装置およびそれに用いる多分割シャワーヘッド
TWI723024B (zh) * 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
WO2017117221A1 (en) * 2016-01-01 2017-07-06 Applied Materials, Inc. Non-metallic thermal cvd/ald gas injector and purge system
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
CN106498367B (zh) * 2016-10-21 2018-09-14 哈尔滨工业大学 一种用于化学气相沉积金刚石薄膜的紧凑型真空反应装置
JP6851188B2 (ja) * 2016-11-28 2021-03-31 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
CN106783500A (zh) * 2017-01-03 2017-05-31 京东方科技集团股份有限公司 镀膜设备
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10541361B2 (en) 2017-11-30 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory and manufacturing method thereof
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11367594B2 (en) * 2019-11-27 2022-06-21 Applied Materials, Inc. Multizone flow gasbox for processing chamber
US12011731B2 (en) * 2020-07-10 2024-06-18 Applied Materials, Inc. Faceplate tensioning method and apparatus to prevent droop
US20220044917A1 (en) * 2020-08-07 2022-02-10 Semes Co., Ltd. Substrate treating apparatus and substrate support unit
US20220199373A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Methods to eliminate of deposition on wafer bevel and backside
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
CN116130325A (zh) * 2021-11-12 2023-05-16 中微半导体设备(上海)股份有限公司 安装底座、喷淋头组件、控温方法及等离子体处理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11158662A (ja) * 1997-12-01 1999-06-15 Hitachi Ltd プラズマ処理方法およびプラズマ処理装置
JP2002252208A (ja) * 2001-02-22 2002-09-06 Ibiden Co Ltd プラズマエッチング装置のガス噴出し板
JP2009117477A (ja) * 2007-11-02 2009-05-28 Tokyo Electron Ltd ガス供給装置、基板処理装置および基板処理方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4537217A (en) * 1982-12-09 1985-08-27 Research Triangle Institute Fluid distributor
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6333019B1 (en) * 1999-04-29 2001-12-25 Marc-Olivier Coppens Method for operating a chemical and/or physical process by means of a hierarchical fluid injection system
AU2976901A (en) * 2000-01-27 2001-08-07 Amalgamated Res Inc Shallow bed fluid treatment apparatus
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
GB0111485D0 (en) * 2001-05-11 2001-07-04 Amersham Pharm Biotech Ab Scalable liquid distribution system for large scale chromatography columns
ES2378144T3 (es) * 2001-05-17 2012-04-09 Amalgamated Research, Inc. Dispositivo fractal para aplicaciones de mezcla y de reactores
FI113527B (fi) * 2002-12-31 2004-05-14 Raute Oyj Suutinyksikkö
US20070299292A1 (en) * 2006-06-23 2007-12-27 Catalytic Distillation Technologies Paraffin alkylation
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008117477A (ja) 2006-11-06 2008-05-22 Pioneer Electronic Corp 記録媒体保持装置、および、ディスク装置
US7674394B2 (en) 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
DE502007001071D1 (de) * 2007-03-05 2009-08-27 Re Beschichtungsanlage und Gasleitungssystem
US20090133631A1 (en) * 2007-11-23 2009-05-28 Applied Materials Inc. Coating device and method of producing an electrode assembly
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
JP5792563B2 (ja) * 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11158662A (ja) * 1997-12-01 1999-06-15 Hitachi Ltd プラズマ処理方法およびプラズマ処理装置
JP2002252208A (ja) * 2001-02-22 2002-09-06 Ibiden Co Ltd プラズマエッチング装置のガス噴出し板
JP2009117477A (ja) * 2007-11-02 2009-05-28 Tokyo Electron Ltd ガス供給装置、基板処理装置および基板処理方法

Also Published As

Publication number Publication date
CN104205309B (zh) 2016-10-19
US20150107772A1 (en) 2015-04-23
US10199241B2 (en) 2019-02-05
CN104205309A (zh) 2014-12-10
JP2013239482A (ja) 2013-11-28
TW201408812A (zh) 2014-03-01
JP6157061B2 (ja) 2017-07-05
KR102070702B1 (ko) 2020-01-29
US9887108B2 (en) 2018-02-06
KR20150018773A (ko) 2015-02-24
US20180190519A1 (en) 2018-07-05
TWI611039B (zh) 2018-01-11

Similar Documents

Publication Publication Date Title
WO2013168825A1 (ja) ガス供給装置及び基板処理装置
JP6580729B2 (ja) ウェハ処理機器の化学制御機構
US11139150B2 (en) Nozzle for multi-zone gas injection assembly
TWI713452B (zh) 具有更均勻的邊緣清洗的基板支撐件
JP5913312B2 (ja) 中性/イオンフラックスの制御のための半導体ウエハ処理装置、半導体ウエハ処理システム、及び、ガス分配ユニット
JP2019123940A (ja) 半導体処理用のガス分配シャワーヘッド
CN102263025B (zh) 等离子体处理装置及其处理气体供给机构
TWI615499B (zh) 具有內部擴散器和角度注入件的可調諧氣體輸送組件
TWI661462B (zh) Plasma processing device and gas supply member
TW201422842A (zh) 用於提供電漿至處理腔室的裝置
JP2016063221A5 (ja)
JP2013541177A5 (ja) 中性/イオンフラックスの制御のための半導体ウエハ処理装置、半導体ウエハ処理システム、及び、ガス分配ユニット
KR20060059305A (ko) 반도체 공정 장비
US11944988B2 (en) Multi-zone showerhead
JP2024523698A (ja) 再帰的ガスチャネルを有するシャワーヘッドアセンブリ
CN116057664A (zh) 具有递归式气体通道的喷头组件

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13788608

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20147028375

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 14391482

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13788608

Country of ref document: EP

Kind code of ref document: A1