WO2012165263A1 - Procédé de formation d'un film d'isolation de grille et dispositif de formation d'un film d'isolation de grille - Google Patents

Procédé de formation d'un film d'isolation de grille et dispositif de formation d'un film d'isolation de grille Download PDF

Info

Publication number
WO2012165263A1
WO2012165263A1 PCT/JP2012/063219 JP2012063219W WO2012165263A1 WO 2012165263 A1 WO2012165263 A1 WO 2012165263A1 JP 2012063219 W JP2012063219 W JP 2012063219W WO 2012165263 A1 WO2012165263 A1 WO 2012165263A1
Authority
WO
WIPO (PCT)
Prior art keywords
forming
film
gate insulating
insulating film
reforming
Prior art date
Application number
PCT/JP2012/063219
Other languages
English (en)
Japanese (ja)
Inventor
青山 真太郎
井下田 真信
隆宏 袴田
藤野 豊
北川 淳一
秋山 浩二
ロバート クラーク
環 竹山
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2012165263A1 publication Critical patent/WO2012165263A1/fr

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • the present invention relates to a method for forming a gate insulating film and an apparatus for forming a gate insulating film.
  • High-k film a high dielectric constant film
  • Oxide-based materials are attracting attention, and HfSiON films and HfO 2 films are being put into practical use with a relatively low dielectric constant (for example, Patent Document 1).
  • CVD Chemical Vapor Deposition
  • ALD Advanced Layered Deposition
  • a technique called gate-last in which a MOSFET is formed at the end of the process, is being mass-produced.
  • a diffusion process or the like that requires high-temperature heat treatment is performed in the first half of the process, and in addition, a part of the metal wiring may be formed when forming the gate.
  • thermal budget (Thermal budget)
  • an object of the present invention is to provide a gate insulating film forming method and a gate insulating film forming apparatus capable of obtaining a gate insulating film having a high dielectric constant with a small thermal budget.
  • a gate insulating film forming method for forming a gate insulating film on a semiconductor substrate, the film forming step for forming a high dielectric constant film on the semiconductor substrate by CVD or ALD.
  • a first reforming step for modifying the deposited high dielectric constant film at a temperature lower than the deposition temperature by radical treatment; and the high dielectric film deposited in the first modifying step.
  • a method for forming a gate insulating film which includes a second modification step of crystallizing the rate film by performing a heat treatment.
  • a gate insulating film forming method for forming a gate insulating film on a semiconductor substrate, the film forming step for forming a high dielectric constant film on the semiconductor substrate by CVD or ALD. And a first modification step for modifying the formed high dielectric constant film at a temperature lower than the crystallization temperature by radical treatment to obtain an amorphous film, and after the first modification step. And a second reforming step of controlling the crystal by performing a rapid temperature increase / decrease process by heat treatment on the high dielectric constant film.
  • a gate insulating film forming method for forming a gate insulating film on a semiconductor substrate, the film forming step for forming a high dielectric constant film on the semiconductor substrate by CVD or ALD. And a reforming step of modifying the high dielectric constant film by microwave irradiation to the high dielectric constant film and providing a method for forming a gate insulating film.
  • a gate insulating film forming method for forming a gate insulating film on a semiconductor substrate, the film forming step for forming a high dielectric constant film on the semiconductor substrate by CVD or ALD. And a reforming step of modifying the high dielectric constant film by heating the high dielectric constant film with a light emitting diode.
  • a gate insulating film forming apparatus for forming a gate insulating film on a semiconductor substrate, and a film forming apparatus for forming a high dielectric constant film on a semiconductor substrate by CVD or ALD.
  • a first reforming apparatus for modifying the deposited high dielectric constant film at a temperature lower than the film forming temperature by radical treatment, and a first reforming process by the first reforming apparatus.
  • a second reforming apparatus for crystallizing the processed high dielectric constant film by heat treatment; a film forming process in the film forming apparatus; and a first reforming apparatus in the first reforming apparatus.
  • a gate insulating film forming apparatus including a control unit configured to control a reforming process and a second reforming process in the second reforming apparatus in this order.
  • a processing container for housing a semiconductor substrate, a gas supply mechanism for supplying a film forming gas for forming a high dielectric constant film in the processing container, and a microwave are guided.
  • After forming the HfO 2 film in ALD it is a graph showing the concentration of impurities (carbon) in the thickness direction after the first reforming process. It is the figure which calculated
  • XPS X-ray photoelectron spectroscopy
  • HfO 2 film is a High-k film the current is the dielectric constant ⁇ is about 12, a thickness of 3nm EOT (Equivalent Oxide Thickness: SiO 2 equivalent oxide film thickness) but is 1.02 nm, from Fig. 1,
  • EOT Equivalent Oxide Thickness: SiO 2 equivalent oxide film thickness
  • the density may be increased by about 10%.
  • the first basic concept is to increase the dielectric constant of the film by increasing the density of the high-k film constituting the gate insulating film by the processing of a small thermal budget. Further, in order to obtain a high dielectric constant and density, the film needs to be crystallized.
  • FIG. 2 is a flowchart for explaining a method of forming a gate insulating film according to the first embodiment of the present invention.
  • the surface of the silicon wafer 1 is cleaned with dilute hydrofluoric acid or the like, and if necessary, a pretreatment is performed to form an interface layer made of SiO 2 (step 1), and then a high-k film is formed ( Step 2).
  • a hafnium oxide material film typically a hafnium oxide (HfO 2 ) film or a hafnium silicate (HfSiOx) film can be preferably used.
  • the film formation at this time can be performed by CVD or ALD.
  • ALD which can form a film at a low temperature and has good step coverage.
  • an Hf source gas and an oxidizing agent are used as the film forming gas.
  • an organic metal compound can be suitably used.
  • an amide-based organic hafnium compound such as TDEAH (tetrakisdiethylaminohafnium), tetrakisethylmethylaminohafnium (TEMAH), hafnium tetratertiarytriboxide ( And alkoxide-based organic hafnium compounds such as HTB).
  • TDEAH tetrakisdiethylaminohafnium
  • TEMAH tetrakisethylmethylaminohafnium
  • HTB hafnium tetratertiarytriboxide
  • O 3 gas, H 2 O gas, O 2 gas, NO 2 gas, NO gas, N 2 O gas, or the like can be used.
  • the reactivity may be increased by converting the oxidizing agent into plasma.
  • radical oxidation using O 2 gas and H 2 gas may be used.
  • the HfO 2 film is formed by CVD, the Hf source and the oxidant are simultaneously supplied while heating the silicon wafer to react on the silicon wafer or on the interface layer thereon to form the HfO 2 film. Film.
  • the HfO 2 film is formed by alternately repeating the operation of supplying the oxidizing agent after thinly adsorbing the Hf source.
  • Hf source gas Si source gas
  • oxidizing agent used as the film forming gas.
  • the same materials as those for the HfO 2 film can be used.
  • the Si source include amide organic silicon compounds such as TDMAS (tetrakisdimethylaminosilane), alkoxide organic silicon compounds such as TEOS (tetraethoxysilane), and inorganic silane compounds such as disilane (Si 2 H 6 ). be able to.
  • the HfSiOx film is formed by CVD, the Hf source, the Si source gas, and the oxidant are simultaneously supplied while heating the silicon wafer, and the HfSiOx film is reacted on the silicon wafer or on the interface layer thereon. Is deposited.
  • the HfSiOx film is formed by repeating the operation of supplying the oxidizing agent after thinly adsorbing the Hf source and oxidizing it, and then oxidizing after thinly adsorbing the Si source.
  • a film formation temperature of 350 to 600 ° C., for example, about 500 ° C. is used, and when the film is formed by ALD, 150 to 350 ° C., for example, 300 A film forming temperature of about 0 ° C. is used.
  • the state of film formation by CVD or ALD is as shown in FIG. 3A.
  • the film contains a large amount of impurities, and the arrangement of atoms is irregular and amorphous. Is. Therefore, in this state, since the density is low and the film quality is poor, the dielectric constant is low. In particular, in the case of ALD, since the film is formed at a low temperature, impurities are likely to remain.
  • a first modification process is performed by a radical process at a low temperature (step 3).
  • a radical treatment as shown in FIG. 3B, impurities in the film are removed to improve the film quality and increase the density of the film. If the temperature of this radical treatment exceeds the film formation temperature, the effect of increasing the density will be reduced. Therefore, the temperature is preferably below the film formation temperature.
  • ultraviolet-excited radical oxidation treatment and microwave plasma treatment can be suitably used.
  • This reforming treatment is preferably a small thermal budget, and can effectively remove impurities in the film without increasing the high-k film itself and the interface layer as much as possible.
  • an ultraviolet ray at 350 ° C. or lower is preferable.
  • Excited radical oxidation treatment can be suitably used.
  • ultraviolet-excited radical oxidation treatment at room temperature can be suitably used.
  • UV-excited radical oxidation treatment is performed in an O 2 gas-containing atmosphere is preferably the partial pressure of O 2 gas is 1.33Pa or more.
  • Such ultraviolet-excited radical oxidation treatment can be performed using an ultraviolet irradiation apparatus shown in FIG.
  • microwave plasma has an advantage of high plasma density and low electron temperature.
  • the processing gas O 2 gas, a rare gas such as Ar, N 2 gas, or the like can be suitably used. Specifically, O 2 gas, O 2 gas + rare gas, rare gas, or rare gas + N 2 gas can be used. However, when O 2 gas is used, the interface layer tends to increase in thickness.
  • the temperature during the microwave plasma treatment is more preferably 350 ° C. or lower.
  • Such microwave plasma processing can be performed using a microwave plasma processing apparatus shown in FIGS.
  • the second reforming process is performed to crystallize the High-k film as shown in FIG. 3C (step 4).
  • the second reforming process is a heat treatment for giving energy for crystallization, and needs to be performed with a thermal budget that does not affect the device. Since impurities are removed from the high-k film by the first modification process, the film can be crystallized by a small thermal budget in the second modification process.
  • Examples of such a crystallization process with a small thermal budget include spike annealing using a RTP (Rapid Thermal Process) apparatus such as lamp heating.
  • This spike annealing is performed at a high temperature of 600 to 900 ° C. but in a short time of about 0.1 to 1 sec. Therefore, it is possible to perform processing with a small thermal budget.
  • it may be a heat treatment at a temperature of 700 ° C. or lower using a resistance heating heat treatment.
  • the holding time is preferably 3 minutes or less.
  • the temperature is preferably 450 ° C. or higher for sufficient crystallization.
  • microwave irradiation treatment is also suitable as the second modification treatment.
  • MIT can directly heat a High-k film by internal heating with electromagnetic energy, and can perform crystallization at a low temperature of 500 ° C. or lower. For this reason, it is possible to perform a reforming process with extremely little thermal budget and very little thermal diffusion and reoxidation.
  • Such a microwave irradiation process can be performed using a microwave heating apparatus shown in FIG.
  • LED heating uses not the black body radiation of the heating source but electromagnetic radiation by recombination of electrons and holes, so that the thermal budget is small and the heating / cooling speed is extremely large. Further, since it is GaN and GaAs which are frequently used as LED element absorptivity to silicon is high low absorptance of HfO 2 or HfSiOx, be crystallized without significantly raising the temperature of the HfO 2 film and HfSiOx film Can do. Such LED heating can be performed using the LED heating apparatus shown in FIG.
  • the second modification treatment can also be performed by UVRF treatment using both ultraviolet irradiation and radical irradiation.
  • the film forming process in step 2 the first reforming process in step 3, and the second reforming process in step 4 may be performed using different processing apparatuses. Even if the film forming process 2 and the first reforming process 3 in the step 3 are performed in the same apparatus, the first reforming process in the process 3 and the second reforming process in the process 4 are performed in the same apparatus. Steps 2 to 4 may be performed using the same apparatus.
  • the first reforming process in step 3 may be repeated twice or more, and the second reforming process in step 4 may be repeated twice or more.
  • the continuous processes in step 2 and step 3 may be repeated one or more times.
  • the continuous processes of the processes 2 to 4 may be repeated once or more.
  • step 3 When repeating the film forming process in step 2 and the first reforming process in step 3, it is conceivable to perform the first reforming process every time a predetermined film thickness is formed.
  • the first reforming process thereafter increases the interfacial oxide film between the thin high-k film and the silicon wafer, thereby increasing the EOT. It has been found.
  • the initial film thickness is preferably set to 1.05 nm or more.
  • an HfO 2 film was formed by ALD, and in the first reforming process, a microwave plasma process (radical process) was performed.
  • the apparatus an apparatus capable of performing a film forming process and a first reforming process shown in FIG. 31 described later in the same chamber was used.
  • FIG. 4 shows the change in the number of cycles for performing the first reforming process by microwave plasma treatment (radical treatment) for 40 sec when a 2.5 nm HfO 2 film is formed by 31 cycles of ALD film formation treatment.
  • it is a diagram showing the thickness of the interface layer (SiO 2 film) in the case where is.
  • the film thickness of the interface layer when the first modification process is performed after 31 cycles of film formation is 0.16 nm, which is the same film thickness as when the modification process is not performed. Yes, no film increase.
  • the film thickness (number of cycles) until the first reforming treatment is reduced (reduced) the film thickness of the interface layer increases, and in 8 cycles, the film thickness is vigorously increased to around 0.54 nm.
  • the limit film thickness (the minimum film thickness at which the interface layer does not increase) is in the vicinity of 15 cycles. However, at 15 cycles (film thickness 1.25 nm), the interface layer thickness is 0. The film thickness is 24 nm, compared with 31 cycles. Therefore, in order not to increase the film thickness by 15 cycles of film formation, it is necessary to weaken the microwave plasma treatment (radical treatment) that is the first modification treatment.
  • the film thickness of the interface layer was determined by X-ray photoelectron spectroscopy (XPS) (hereinafter the same).
  • FIG. 5 is a diagram showing the relationship between the horizontal axis representing the microwave plasma treatment time after 15 cycles of film formation and the vertical axis representing the thickness of the interface layer. As shown in this figure, it can be seen that if the time of the first reforming treatment by the microwave plasma treatment is reduced to 10 sec, the film increase is eliminated even in 15 cycles.
  • FIG. 6 is a diagram showing the relationship between the number of reforming treatment start cycles and the film thickness of the interface layer at this time.
  • the diamond-shaped plots in the figure indicate that after film formation is performed up to the illustrated cycle, microwave plasma treatment, which is the first modification process, is performed for 1 sec, and then the first modification process is performed every cycle of the film formation.
  • the microwave plasma treatment is performed every 1 second, and the triangular plot shows that the film is formed until the cycle shown in the figure, and then the microwave plasma treatment, which is the first modification treatment, is performed for 3 seconds.
  • the microwave plasma treatment, which is the first reforming treatment is performed every 1 sec.
  • the plot of x is obtained by performing the film formation up to the illustrated cycle, performing the microwave plasma treatment as the first modification treatment for 10 seconds, and then performing the film formation for the remaining cycles.
  • the microwave plasma treatment which is the first modification process, was performed for 10 seconds, and then the remaining 16 cycles of film formation were performed (see FIG. 5).
  • the conditions for the microwave plasma treatment were as follows: the pressure in the chamber: 20 Pa, the microwave output per microwave introduction mechanism: 200 W, and the gap between the microwave introduction mechanism and the wafer: 80 mm.
  • the film thickness of 13 cycles is the limit film thickness. 13 cycles corresponds to a film thickness of 1.05 nm. Therefore, when the film formation process in step 2 and the first modification process in step 3 are repeatedly performed, the first film formation process is performed with a film thickness of 1.05 nm or more.
  • the plasma treatment is preferably performed for 10 seconds or less. That is, when the number of repetitions of the film formation process and the first modification process is 2 or more, the film thickness is not proportionally divided, and the film thickness of the first film formation process is 1.05 nm regardless of the number of repetitions. It is preferable to make it above.
  • the preferable upper limit value of the initial film thickness is not derived from the above experimental results, it is preferable that the initial film thickness is thin, and 1.21 nm or less is preferable. 1.21 nm corresponds to 15 cycles in the above experiment.
  • impurities in the film are removed by the first modification process by radical treatment at a temperature lower than the film formation temperature to improve the film quality.
  • the film density can be increased, and the dielectric constant of the film can be greatly increased by crystallizing the film with a smaller thermal budget for subsequent heat treatment. Further, by selecting the conditions, it is possible to suppress the increase in the thickness of the interface layer.
  • FIG. 7 is a diagram showing the concentration of impurities (carbon) in the film thickness direction after the first modification process is performed after the HfO 2 film is formed by ALD.
  • an HfO 2 film that was processed in-situ at 3.5 nm three times on Si was formed to a thickness of 10 nm, and an as-depo HfO 2 film was formed to a thickness of 10 nm.
  • the carbon concentration became a high value at a film forming temperature of 310 ° C. From this, it is derived that it is advantageous to perform the first reforming process at a low temperature.
  • FIG. 8 is a diagram showing the relationship between the amount of film increase and the film thickness of the interface layer during various reforming treatments, and also shows an enlarged view of a circled part.
  • UV-O ultraviolet irradiation treatment
  • microwave plasma-O microwave plasma-O
  • FIG. 9A is a graph showing the relationship between the oxidation temperature of UV-O and the thickness of the interface layer
  • FIG. 9B shows the thickness of the interface layer under various conditions of UV-O at room temperature at 450 ° C. and 0.10 Torr. It is the figure compared with the case of the process on the standard conditions. From these figures, the thickness of the interface layer decreases as the temperature of UV-O decreases, and UV-O at room temperature can be reduced by about 0.2 nm compared to the standard condition.
  • FIG. 10 shows the change of the binding energy of 4f of Hf during each treatment by X-ray irradiation during XPS measurement, and shows the stability of the film. From this figure, when annealing at 700 ° C., the change in binding energy of 4f of Hf is small and the stability of the film is high. However, in the case of performing UV irradiation treatment in an O 2 atmosphere and microwave plasma treatment, The change in binding energy is large.
  • FIG. 11A, FIG. 11B, and FIG. 11C respectively show an as depo state of a 2.5 nm thick HfO 2 film, HfO when spike annealing is performed at 900 ° C., and when annealing is performed at 900 ° C. for 10 minutes.
  • XPS X-ray photoelectron spectroscopy
  • FIGS. 12A and 12B are diagrams showing an as depo state of a 4.0 nm-thickness HfO 2 film and XPS spectra when MIT is performed at 600 W for 30 minutes, respectively. From this figure, it was confirmed that crystallization can be performed by MIT for 30 min at 600 W at a film thickness of 4.0 nm.
  • FIG. 13 is a diagram showing an as depo state of a 2.5 nm thick HfO 2 film, a spike annealed at 600 ° C., and an XPS spectrum when MIT is performed at 2000 W for 30 min. It was confirmed that when the film thickness is 2.5 nm, crystallization can be performed in the same manner as when spike annealing at 600 ° C. is performed under conditions of a high output and a long time of 30 minutes at 2000 W.
  • FIG. 14 shows the electrical characteristics of the gate insulating film thus obtained.
  • FIG. 14 is a diagram showing the relationship between the horizontal axis representing the EOT of the film and the vertical axis representing the leakage current (Jg).
  • a broken line indicates a trend when the reforming process is not performed
  • a white circle indicates a case where the first reforming process is performed with Ar plasma
  • a black circle indicates that the first reforming process is performed with Ar nitrogen plasma. Show the case.
  • the number in each plot is the temperature of the second reforming process.
  • the EOT and the leakage current tend to be improved by performing the first reforming process and the second reforming process. Also, under the above conditions, it is confirmed that the EOT and leakage current are most reduced when Ar nitrogen plasma treatment is performed as the first modification treatment and then the second modification treatment is performed at 680 ° C. It was done.
  • a high-density crystallized High-k film can be obtained, and a relatively high dielectric constant can be obtained.
  • step 11 after pre-processing (step 11) is performed as in step 1 of the first embodiment, CVD or ALD is performed as in the second step of the first embodiment.
  • CVD or ALD is performed as in the second step of the first embodiment.
  • a hafnium oxide material film typically, an HfO 2 film and an HfSiOx film are formed (step 12).
  • a first reforming process is subsequently performed by a radical process at a low temperature so that the High-k film is in an amorphous state at that time (step 13).
  • a radical process is subsequently performed by a radical process at a low temperature so that the High-k film is in an amorphous state at that time (step 13).
  • step 13 is film formation at a low temperature so that the crystal becomes amorphous without almost crystallizing during the film formation process in step 12?
  • the film is actively amorphized by irradiating the high-k film with ions such as Ar ions by applying a bias.
  • radical treatment for amorphization examples include a microwave plasma treatment using a rare gas such as Ar gas, which is made amorphous by applying a high frequency bias to a silicon substrate and irradiating the film with Ar ions. be able to.
  • a rare gas such as Ar gas
  • the second reforming process is performed by rapid temperature increase / decrease at 450 ° C. or more (step 14).
  • cubic which is a high-temperature phase crystallized during heating, is brought to room temperature and the dielectric constant can be increased.
  • the high-k film is brought into an amorphous state before the step 14 when the monochronic crystallizes even a little before the step 14, most of it becomes monoclinic regardless of the subsequent processing. This is because it becomes difficult to control the crystal.
  • the High-k film is HfO 2
  • Si, Zr, Y, Ce, Sr, N, and the like can be cited as components that promote phase change.
  • the method of containing these include a method of stacking an HfZrOx film or an HfSiOx film on an HfO 2 film, a method of using a compound containing these components as part of a film forming raw material during film formation, and the like. It is also effective to dope these components into the film. It is also preferable to introduce Ti or Ba, which is a component that promotes polarization, into the film.
  • a method of containing Ti a method of stacking HfTiOx, a method of using a compound containing Ti and these components as a part of a film forming raw material during film formation, or the like can be used.
  • N in the film because tetragonal having a high dielectric constant can be crystallized.
  • N in the High-k film it is preferable to use a technique of doping N into the film by drawing N ions in addition to Ar ions during the radical treatment.
  • MIT microwave irradiation process
  • step 21 the same pretreatment as in step 1 in the first embodiment is performed (step 21), and then a high-k film is formed by CVD or ALD as in step 2. (Step 22), and then a modification process using MIT (Step 23).
  • the film can be densified and crystallized at the same time with a very small thermal budget.
  • the microwave frequency at this time 860 MHz or more can be used.
  • a higher modification effect can be expected by microwave irradiation at a high frequency such as 2.45 GHz or 5.8 GHz.
  • the microwave electric field formed by MIT may not only crystallize monoclinically but also acts on the polarized part of the High-k film, and may control the crystallinity.
  • the density of the film can be reduced to about 9.8 g / cm 2 , which is 9.68 g which is the theoretical density of the monoclinic HfO 2 film. A value higher than / cm 2 was obtained. From this, it is presumed that cubic (density 10.2 g / cm 2 ) having a higher density is crystallized.
  • HfO 2 films having a film thickness of 2.5 nm and 3.5 nm are formed as High-k films, and will be described later.
  • a gate insulating film was formed by performing a modification process using MIT with the processing apparatus shown in FIG. The conditions at that time were microwave output: 2000 W and processing time: 3 min.
  • FIG. 18 shows the electrical characteristics of the gate insulating film thus obtained.
  • FIG. 18 is a diagram showing the relationship between the horizontal axis representing the EOT of the film and the vertical axis representing the leakage current (Jg).
  • FIG. 18 also plots an as depo that is not subjected to the reforming process and a lamp that is subjected only to lamp annealing at 700 ° C. as the reforming process.
  • step 31 the same pretreatment as in step 1 in the first embodiment is performed (step 31), and then a high-k film is formed by CVD or ALD as in step 2. (Step 32), and then a modification treatment by heating the LED (step 33).
  • the LED heating is heating using electromagnetic radiation due to recombination of electrons and holes, not black body radiation of the heating source, and also has a high absorptance with respect to the silicon substrate. Therefore, the HfO 2 film or HfSiOx The modification can be carried out without increasing the temperature of the membrane so much. Since impurities can be removed to some extent by the LED, it is possible to increase the density and crystallize only by heating the LED.
  • FIG. 20 is a diagram illustrating an example of a processing system for realizing the first embodiment.
  • the processing system 100 performs the processing after the step 2 on the silicon wafer after the preprocessing of the step 1 is performed.
  • this processing system 100 includes two film forming apparatuses 1 and 2 for forming a High-k film, and a first modification for performing a first modification process on the High-k film. And a second reforming treatment device 4 for performing a second reforming treatment.
  • the film forming devices 1 and 2 and the first and second reforming treatment devices 3 and 4 are provided. Are respectively provided corresponding to the four sides of the wafer transfer chamber 5 having a hexagonal shape. Load lock chambers 6 and 7 are provided on the other two sides of the wafer transfer chamber 5, respectively.
  • a wafer loading / unloading chamber 8 is provided on the opposite side of the load lock chambers 6 and 7 to the wafer transfer chamber 5, and a silicon wafer (hereinafter referred to as “wafer loading / unloading chamber 8”) is disposed on the opposite side of the load lock chambers 6 and 7. Ports 9, 10, and 11 for attaching three FOUPs F capable of accommodating W (simply referred to as wafers) are provided.
  • the film forming apparatuses 1 and 2, the first and second reforming apparatuses 3 and 4, and the load lock chambers 6 and 7 are connected to each side of the wafer transfer chamber 5 through gate valves G as shown in FIG. These are connected, and are communicated with the wafer transfer chamber 5 by opening each gate valve G, and are disconnected from the wafer transfer chamber 5 by closing each gate valve G.
  • a gate valve G is also provided at a portion of the load lock chambers 6 and 7 connected to the wafer loading / unloading chamber 8.
  • the load lock chambers 6 and 7 open the gate loading / unloading chamber 8 by opening the gate valve G. 8, and is closed from the wafer loading / unloading chamber 8 by closing them.
  • the wafer transfer apparatus that carries the wafer W into and out of the film forming apparatuses 1, 2, the first and second modification processing apparatuses 3 and 4, and the load lock chambers 6 and 7. 12 is provided.
  • the wafer transfer device 12 is disposed substantially at the center of the wafer transfer chamber 5 and has two blades 14 a and 14 b that hold the wafer W at the tip of a rotatable / extensible / retractable portion 13 that can be rotated and extended. These two blades 14a and 14b are attached to the rotating / extending / contracting portion 13 so as to face opposite directions.
  • the wafer transfer chamber 5 is maintained at a predetermined degree of vacuum.
  • the ceiling of the wafer loading / unloading chamber 8 is provided with a HEPA filter (not shown), and clean air from which organic substances and particles have been removed through the HEPA filter is lowered into the wafer loading / unloading chamber 8.
  • the wafer W is supplied in a flow state and is loaded and unloaded in a clean air atmosphere at atmospheric pressure.
  • Shutters (not shown) are provided in the three ports 9, 10, 11 for attaching the FOUP F of the wafer carry-in / out chamber 8, and the wafers W are accommodated in these ports 9, 10, 11 or empty.
  • the hoop is directly attached, and when it is attached, the shutter is released to communicate with the wafer loading / unloading chamber 8 while preventing the outside air from entering.
  • An alignment chamber 15 is provided on the side surface of the wafer carry-in / out chamber 8 where the wafer W is aligned.
  • a wafer transfer device 16 for loading / unloading the wafer W into / from the FOUP F and loading / unloading the wafer W into / from the load lock chambers 6, 7 is provided.
  • the wafer transfer device 16 has two articulated arms, and can run on the rail 18 along the direction in which the hoops F are arranged, and the wafer W is placed on the hand 17 at the tip thereof. Transport.
  • FIG. 20 shows a state where one hand 17 exists in the wafer loading / unloading chamber 8 and the other hand is inserted into the FOUP F.
  • the components of the processing system 100 are connected to and controlled by a control unit 20 that is a computer. It is the composition which becomes.
  • the control unit 20 is connected to a user interface 21 including a keyboard for an operator to input commands for managing the system, a display for visualizing and displaying the operating status of the system, and the like.
  • the control unit 20 includes a control program for realizing various processes executed by the system under the control of the control unit 20, and a program for causing each component unit to execute a process according to a processing condition, that is, a process recipe. Is stored.
  • the processing recipe is stored in a storage medium in the storage unit 22.
  • the storage medium may be a hard disk or a portable medium such as a CDROM, DVD, or flash memory. Moreover, you may make it transmit a recipe suitably from another apparatus via a dedicated line, for example.
  • control unit 20 may directly control each component unit, or may provide an individual controller for each component unit and control it via them.
  • the FOUP F containing the pre-processed wafer W is loaded.
  • one wafer W is taken out from the FOUP F and carried into the alignment chamber 15 by the wafer transfer device 16 in the wafer carry-in / out chamber 8 held in a clean air atmosphere at atmospheric pressure, and the wafer W is aligned.
  • the wafer in the load lock is taken out by the wafer transfer device 12 in the wafer transfer chamber 5. Is loaded into the film forming apparatus 1 or 2, and the film forming process of step 2 is performed.
  • the wafer W on which the High-k film has been formed is taken out by the wafer transfer device 12 and subsequently carried into the first reforming device 3 to perform the first reforming process in step 3.
  • the wafer W in the first modification processing apparatus 3 is taken out by the wafer transfer device 12 and inserted into the second modification processing apparatus 4 to perform the second modification processing in step 4.
  • the wafer W after film formation is loaded into one of the load lock chambers 6 and 7 by the wafer transfer device 12 and returned to atmospheric pressure, and then the load lock is performed by the wafer transfer device 16 in the wafer carry-in / out chamber 8.
  • the wafer W in the room is taken out and accommodated in one of the FOUPs F. Such an operation is performed on one lot of wafers W, and one set of processing is completed.
  • the film forming process, the first modifying process and the second modifying process can be performed continuously without breaking the vacuum, and a high-quality crystallized gate insulating film is obtained. It is formed.
  • FIG. 21 is a cross-sectional view illustrating an example of the film forming apparatus 1.
  • the film forming apparatus 1 has a substantially cylindrical chamber 31 that is hermetically configured, and a susceptor 32 for horizontally supporting a wafer W that is an object to be processed is provided in the lower center portion of the chamber 31.
  • the cylindrical support member 33 is arranged in a supported state.
  • the susceptor 32 is made of a ceramic such as AlN.
  • a heater 35 is embedded in the susceptor 32, and a heater power source 36 is connected to the heater 35.
  • thermocouple 37 is provided in the vicinity of the upper surface of the susceptor 32, and a signal of the thermocouple 37 is transmitted to the controller 38.
  • the controller 38 transmits a command to the heater power supply 36 in accordance with a signal from the thermocouple 37, controls the heating of the heater 35, and controls the wafer W to a predetermined temperature.
  • a quartz liner 39 is provided on the inner wall of the chamber 31 and on the outer periphery of the susceptor 32 and the support member 33 to prevent deposits from accumulating.
  • a purge gas shield gas
  • the quartz liner 39 can be removed so that the maintenance in the chamber 31 can be performed efficiently.
  • a circular hole 31 b is formed in the top wall 31 a of the chamber 31, and a shower head 40 protruding into the chamber 31 from there is fitted.
  • the shower head 40 is for discharging a film-forming gas into the chamber 31, and has a first introduction path 41 through which a source gas is introduced and a second introduction system through which an oxidant is introduced.
  • the introduction path 42 is connected. Inside the shower head 40, spaces 43 and 44 are provided in two upper and lower stages.
  • a first introduction path 41 is connected to the upper space 43, and a first gas discharge path 45 extends from the space 43 to the bottom surface of the shower head 40.
  • a second introduction path 42 is connected to the lower space 44, and a second gas discharge path 46 extends from the space 44 to the bottom surface of the shower head 40.
  • the shower head 40 is a post-mix type in which the metal source gas and the oxidant are not mixed and are uniformly diffused in the spaces 43 and 44 and discharged independently from the discharge passages 45 and 46, respectively.
  • the susceptor 32 can be moved up and down by a lifting mechanism (not shown), and the process gap is adjusted so as to minimize the space exposed to the source gas.
  • An exhaust chamber 51 protruding downward is provided on the bottom wall of the chamber 31.
  • An exhaust pipe 52 is connected to the side surface of the exhaust chamber 51, and an exhaust device 53 is connected to the exhaust pipe 52. By operating the exhaust device 53, the inside of the chamber 31 can be depressurized to a predetermined degree of vacuum.
  • a loading / unloading port 54 for loading / unloading the wafer W to / from the wafer transfer chamber 5 and a gate valve G for opening / closing the loading / unloading port 54 are provided.
  • the raw material gas and the oxidizing agent those described above can be used.
  • the raw material gas passes through the first introduction passage 41 and the oxidizing agent passes through the second introduction passage 42 at the same time.
  • the raw material gas is supplied, for example, by pumping a liquid raw material from a raw material container and vaporizing it with a vaporizer.
  • the wafer W is loaded into the chamber 31, and then the inside thereof is evacuated to a predetermined vacuum state, and the heater 35 is heated to a predetermined temperature.
  • the source gas and the oxidizing agent are simultaneously introduced into the chamber 31 via the shower head 40 via the first introduction path 41 and the second introduction path 42, and in the case of ALD, these are alternately switched.
  • the chamber 31 In this state, in the case of CVD, the source gas and the oxidizing agent are simultaneously introduced into the chamber 31 via the shower head 40 via the first introduction path 41 and the second introduction path 42, and in the case of ALD, these are alternately switched.
  • the source gas and the oxidizing agent are simultaneously introduced into the chamber 31 via the shower head 40 via the first introduction path 41 and the second introduction path 42, and in the case of ALD, these are alternately switched.
  • the source gas and the oxidant react on the heated wafer W, and a predetermined High-k film is formed on the wafer W.
  • FIG. 22 is a cross-sectional view showing a first example of the first reforming apparatus.
  • the first reforming apparatus 3-1 has a substantially cylindrical chamber 61 that is airtight, and a support member 62 that rotatably supports the wafer W is rotated in the chamber 61. It is provided as possible.
  • a rotation shaft 63 of the support member 62 extends downward and is rotated by a rotation drive mechanism 64 outside the chamber 61.
  • An annular exhaust path 65 is provided on the outer periphery of the chamber 61, and the chamber 61 and the exhaust path 65 are connected via an exhaust hole 66.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 65 so that the chamber 61 is exhausted.
  • An ultraviolet lamp 67 for irradiating ultraviolet rays is provided on the top wall of the chamber 61 so that the wafer W in the chamber 61 is irradiated with ultraviolet rays.
  • a gas introduction pipe 68 is inserted into the top wall of the chamber 61, and a gas supply pipe 69 is connected to the gas introduction pipe 68, and O 2 gas is connected via the gas supply pipe 69 and the gas introduction pipe 68. Is introduced into the chamber 61.
  • a lamp chamber 70 is provided at the bottom of the chamber 61, and a translucent plate 71 made of a transparent material such as quartz is provided on the upper surface of the lamp chamber 70.
  • a plurality of heating lamps 72 are provided in the lamp chamber, and the wafer W can be heated.
  • a bellows 73 is provided between the bottom surface of the lamp chamber 70 and the rotation drive mechanism 64 so as to surround the rotation shaft 63.
  • the wafer W is evacuated to a predetermined vacuum state and supported by the rotation drive mechanism 64.
  • the wafer W is rotated through the member 62 and the wafer W is heated to a predetermined temperature by the lamp 72 in the lamp chamber 70 as necessary. In the case of processing at room temperature, the lamp 72 is not used.
  • the High-k film on the wafer W is subjected to ultraviolet-excited radical oxidation treatment as the first modification treatment. This removes impurities from the High-k film and densifies the film.
  • FIG. 23 is a cross-sectional view showing a second example of the first reforming apparatus.
  • the first reforming apparatus 3-2 includes a substantially cylindrical chamber 81, a susceptor 82 provided therein, and a gas introduction unit 83 for introducing a processing gas provided on the side wall of the chamber 81.
  • a planar antenna 84 provided with a large number of microwave transmission holes 84a, a microwave generation unit 85 for generating micros, and a microwave generation unit 85 in a plane.
  • a microwave transmission mechanism 86 that leads to the antenna 84.
  • a microwave transmission plate 91 made of a dielectric is provided below the planar antenna 84, and a shield member 92 is provided on the planar antenna 84.
  • the shield member 92 has a water cooling structure.
  • a slow wave material made of a dielectric may be provided on the upper surface of the planar antenna 84.
  • the microwave transmission mechanism 86 includes a waveguide 101 extending in the horizontal direction for guiding microwaves from the microwave generation unit 85, a coaxial waveguide 102 including an inner conductor 103 and an outer conductor 104 extending upward from the planar antenna 84, A mode conversion mechanism 105 provided between the waveguide 101 and the coaxial waveguide 102 is provided.
  • Reference numeral 93 denotes an exhaust pipe.
  • the susceptor 82 is connected to a high frequency power source 106 for ion attraction, and ions can be drawn into the high-k film to make the film amorphous.
  • the first reforming apparatus 3-2 configured in this manner guides the microwave generated by the microwave generation unit 85 to the planar antenna 84 in a predetermined mode via the microwave transmission mechanism 86, and the planar antenna 84
  • the microwave is uniformly supplied into the chamber 81 through the microwave transmission hole 84a and the microwave transmission plate 91, and the processing gas supplied from the gas introduction part 83 is converted into plasma by the microwave, and the wafer is released by radicals in the plasma.
  • a first reforming process (microwave plasma process) is performed on the High-k film on W.
  • the processing gas O 2 gas, O 2 gas + rare gas, rare gas, or rare gas + N 2 gas can be used.
  • FIG. 24 is a cross-sectional view showing a third example of the first reforming apparatus.
  • the first reforming apparatus 3-3 has a substantially cylindrical chamber 111 that is airtight, and a susceptor 112 on which a wafer W is placed supports the center thereof. It is provided in a state supported by the legs 113.
  • a heater 114 is embedded in the susceptor 112, and a heater power source 115 is connected to the heater 114, and the temperature of the wafer W is controlled by a controller (not shown) based on a temperature signal of a thermocouple (not shown). Is to control.
  • the exhaust path 116 is annularly provided on the outer periphery of the chamber 111, and the chamber 111 and the exhaust path 116 are connected via an exhaust hole 117.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 116 so that the chamber 111 is exhausted.
  • microwave introduction mechanism 118 that constitute a microwave plasma source and introduce microwaves for plasma generation into the chamber 111 are provided.
  • This microwave introduction mechanism 118 is a miniaturization of the microwave introduction mechanism of the second example, and includes a waveguide made of a cylindrical coaxial cable, a planar antenna provided at the tip thereof, and a waveguide. And a tuner movably provided on the tube. Since the tuner is provided integrally with the antenna portion, the tuner can be a slag tuner having a simple structure, and the microwave introduction mechanism 118 can be configured to be extremely compact.
  • a gas introduction pipe 119 is inserted in the top wall of the chamber 111, and a gas supply pipe 120 is connected to the gas introduction pipe 119, and the processing gas is passed through the gas supply pipe 120 and the gas introduction pipe 119. It is introduced into the chamber 111.
  • the first reforming apparatus 3-3 configured as described above first loads the wafer W into the chamber 111 and then evacuates the wafer W to a predetermined vacuum state.
  • the generated microwave is amplified by an amplifier and guided to the microwave introduction mechanism 118 through the waveguide, and the microwave is introduced into the chamber 111 from the planar antenna built therein, and the gas supply pipe 120 and the gas introduction pipe are introduced.
  • a processing gas is introduced into the chamber 111 via 119, the processing gas is turned into plasma by microwaves, and a first modification process (microwave plasma processing) is performed on the High-k film on the wafer W by radicals in the plasma. Apply.
  • As the processing gas O 2 gas, O 2 gas + rare gas, rare gas, or rare gas + N 2 gas can be used.
  • the microwave introduction mechanism 118 of this example has a compact structure, the degree of freedom of installation is high, and the microwave can be efficiently irradiated to the wafer W by changing the angle according to the height position of the wafer W or the like. It is possible to adjust to.
  • FIG. 26 is a cross-sectional view showing a first example of the second reforming apparatus.
  • the second reforming apparatus 4-1 has a substantially cylindrical chamber 121 that is hermetically configured, and a support member 122 that rotatably supports the wafer W rotates in the chamber 121. It is provided as possible.
  • a rotation shaft 123 of the support member 122 extends downward and is rotated by a rotation drive mechanism 124 outside the chamber 121.
  • the exhaust path 125 is provided in an annular shape on the outer periphery of the chamber 121, and the chamber 121 and the exhaust path 125 are connected via an exhaust hole 126.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 125 so that the chamber 121 is exhausted.
  • a gas introduction pipe 128 is inserted into the top wall of the chamber 121, and a gas supply pipe 129 is connected to the gas introduction pipe 128, and the processing gas is supplied to the chamber through the gas supply pipe 129 and the gas introduction pipe 128. 121 is introduced.
  • a rare gas such as Ar gas or N 2 gas can be suitably used.
  • a lamp chamber 130 is provided at the bottom of the chamber 121, and a translucent plate 131 made of a transparent material such as quartz is provided on the upper surface of the lamp chamber 130.
  • a plurality of heating lamps 132 are provided in the lamp chamber, and the wafer W can be heated.
  • a bellows 133 is provided between the bottom surface of the lamp chamber 130 and the rotation drive mechanism 124 so as to surround the rotation shaft 123.
  • the wafer W is evacuated to a predetermined vacuum state, and the processing gas is put into the chamber 121.
  • the wafer W is rotated by the rotation drive mechanism 124 via the support member 122 and the temperature of the wafer W is rapidly raised by the lamp 132 of the lamp chamber 130 and reaches a predetermined temperature, the lamp 132 is turned off. The temperature drops rapidly. As a result, the high-k film can be crystallized with a small thermal budget.
  • the lamp chamber 130 may be disposed above the wafer W. In that case, a cooling mechanism may be provided on the back surface side of the wafer W to enable more rapid temperature reduction.
  • FIG. 27 is a cross-sectional view showing a second example of the second reforming apparatus.
  • the second reforming apparatus 4-2 has a substantially cylindrical chamber 141 that is airtight, and a susceptor 142 on which the wafer W is placed supports the center in the chamber 141. It is provided in a state supported by the legs 143.
  • a resistance heater 144 is embedded in the susceptor 142, and a heater power source 145 is connected to the heater 144, and the wafer W is controlled by a controller (not shown) based on a temperature signal of a thermocouple (not shown). The temperature is controlled.
  • An exhaust path 146 is annularly provided on the outer periphery of the chamber 141, and the chamber 141 and the exhaust path 146 are connected via an exhaust hole 147.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 146 so that the chamber 141 is exhausted.
  • a gas introduction pipe 148 is inserted into the top wall of the chamber 141, and a gas supply pipe 149 is connected to the gas introduction pipe 148, so that the processing gas is supplied to the chamber 141 through the gas supply pipe 149 and the gas introduction pipe 148.
  • a rare gas such as Ar gas or N 2 gas can be suitably used.
  • the wafer W is evacuated to a predetermined vacuum state, and the processing gas enters the chamber 141.
  • the wafer W is heated by the resistance heater 144, and when the temperature reaches a predetermined temperature of 700 ° C. or lower, the temperature is maintained for a predetermined time, and then the resistance heater is turned off.
  • the high-k film can be crystallized with a small thermal budget.
  • FIG. 28 is a cross-sectional view showing a third example of the second reforming apparatus.
  • the second reforming apparatus 4-3 has a substantially cylindrical chamber 151 that is airtight, and a mounting table 152 on which a wafer W is placed is placed in the center of the chamber 151.
  • the support leg 153 is provided in a supported state.
  • An exhaust path 155 is annularly provided on the outer periphery of the chamber 151, and the chamber 151 and the exhaust path 155 are connected via an exhaust hole 156.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 155 so that the chamber 151 is exhausted.
  • the top wall of the chamber 151 is provided with 157 microwave irradiation mechanisms for irradiating microwaves.
  • the microwave irradiation mechanism 157 is for microwave heating the High-k film formed on the wafer W by irradiating microwaves having a predetermined wavelength of 860 MHz or higher.
  • a gas introduction pipe 158 is inserted into the top wall of the chamber 151, and a gas supply pipe 159 is connected to the gas introduction pipe 158, so that the processing gas is passed through the gas supply pipe 159 and the gas introduction pipe 158. It is introduced into the chamber 151.
  • a rare gas such as Ar gas or N 2 gas can be suitably used.
  • the second reforming apparatus 4-3 configured as described above first loads the wafer W into the chamber 151, then evacuates the chamber W to a predetermined vacuum state, and introduces the gas supply pipe 159 and the gas inlet. While introducing a processing gas into the chamber 151 through the tube 158, a microwave having a predetermined wavelength is irradiated from the microwave irradiation mechanism 157 toward the wafer W with a predetermined output, and the High-k film is internally heated by electromagnetic energy. Heat directly. Therefore, the high-k film can be crystallized at a low temperature of 400 ° C. or lower.
  • microwave heating apparatus can also be used as the reforming apparatus of the third embodiment.
  • FIG. 29 is a cross-sectional view showing a fourth example of the second reforming apparatus.
  • the second reforming apparatus 4-4 has a substantially cylindrical chamber 161 that is airtight, and a mounting table 162 on which the wafer W is placed is placed in the center of the chamber 161.
  • the support leg 163 is provided in a supported state.
  • An annular exhaust path 165 is provided on the outer periphery of the chamber 161, and the chamber 161 and the exhaust path 165 are connected via an exhaust hole 166.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 165 so that the chamber 161 is exhausted.
  • An LED unit 170 is provided on the upper portion of the chamber 161.
  • the LED unit 170 is fitted in the center of the top wall of the chamber 161 and has a cylindrical copper cooling member 171 having a slightly larger diameter than the mounting table 162 and a surface of the cooling member 171 facing the wafer W on the wafer.
  • a circular recess 172 provided so as to correspond to W
  • an LED array 175 in which a plurality of LEDs 174 are mounted on a support member 173 made of a heat-conductive insulating member provided in the recess 172, and the recess 172 are covered.
  • the light transmitting member 176 that transmits light from the LED such as quartz provided facing the wafer W is provided.
  • a cooling medium flow path 177 is provided in the cooling member 171, and a liquid cooling medium that can be cooled to 0 ° C. or less, for example, about ⁇ 50 ° C. is passed through the cooling member 171, and the LED 174 is cooled.
  • a gas introduction pipe 168 is inserted in the top wall of the chamber 161, and a gas supply pipe 169 is connected to the gas introduction pipe 168, so that the processing gas is supplied to the chamber 161 through the gas supply pipe 169 and the gas introduction pipe 168.
  • a rare gas such as Ar gas or N 2 gas can be suitably used.
  • the second reforming apparatus 4-4 configured as described above first loads the wafer W into the chamber 161 and then evacuates the chamber W to obtain a predetermined vacuum state. While introducing the processing gas into the chamber 161 through the tube 168, the LED 174 is energized to perform the LED heat treatment.
  • LED heating uses not the black body radiation of the heating source but electromagnetic radiation due to recombination of electrons and holes, so the thermal budget is small and the temperature drop rate is extremely large. Further, since it is GaN and GaAs which are frequently used as LED element absorptivity to silicon is high low absorptance of HfO 2 or HfSiOx, be crystallized without significantly raising the temperature of the HfO 2 film and HfSiOx film Can do.
  • LED heating device can also be used as the reforming device of the fourth embodiment.
  • FIG. 30 is a cross-sectional view showing an example 1 of an apparatus that can perform the film forming process and the first reforming process in the same chamber.
  • the processing apparatus 180 has a substantially cylindrical chamber 181 that is airtight, and a support member 182 that rotatably supports the wafer W is rotatably provided in the chamber 181.
  • a rotation shaft 183 of the support member 182 extends downward and is rotated by a rotation drive mechanism 184 outside the chamber 181.
  • the rotating shaft can be raised and lowered by an elevating mechanism (not shown), and thereby the support member 182 can be raised and lowered.
  • An annular exhaust path 185 is provided on the outer periphery of the chamber 181, and the chamber 181 and the exhaust path 185 are connected via an exhaust hole 186.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 185 so that the chamber 181 is exhausted.
  • An ultraviolet lamp 187 for irradiating ultraviolet rays is provided on the top wall of the chamber 181 so that the wafer W in the chamber 181 is irradiated with ultraviolet rays.
  • a plurality of gas introduction plugs 190 are inserted in the top wall of the chamber 181, and a first hole 191 and a second hole 192 pass through the gas introduction plug 190 vertically.
  • a first gas pipe 193 for supplying a source gas for film formation is connected to the first hole 191, and an oxidant and a first reformer used for film formation are connected to the second hole 192.
  • a second gas pipe 194 for supplying O 2 gas used in the process is connected. Note that when the oxidizing agent is O 2 gas, only the O 2 gas may be supplied from the second gas pipe 194.
  • a lamp chamber 200 is provided at the bottom of the chamber 181, and a translucent plate 201 made of a transparent material such as quartz is provided on the upper surface of the lamp chamber 200.
  • a plurality of heating lamps 202 are provided in the lamp chamber 200 so that the wafer W can be heated.
  • a bellows 203 is provided between the bottom surface of the lamp chamber 200 and the rotation drive mechanism 184 so as to surround the rotation shaft 183.
  • the wafer W is loaded into the chamber 181 and placed on the support member 182. Then, after adjusting the process gap so as to minimize the space exposed to the source gas by a lifting mechanism (not shown), the chamber 181 is evacuated to a predetermined vacuum state, and the wafer W is heated to a predetermined temperature by the heating lamp 202.
  • a source gas and an oxidizing agent are supplied simultaneously or alternately from the gas introduction plug 190 while being heated, and a High-k film, for example, an HfO 2 film or an HfSiOx film is formed by CVD or ALD.
  • the source gas and the oxidizing agent those described above can be used.
  • the source gas is supplied, for example, by pumping a liquid source from a source container and vaporizing it with a vaporizer.
  • the source gas and the oxidant supplied from the gas introduction plug 190 react on the heated wafer W, and a predetermined High-k film is formed on the wafer W.
  • the supply of the source gas and the oxidant is stopped, the inside of the chamber 181 is purged as necessary, the process gap is adjusted, and the first reforming process is performed in the same chamber.
  • the inside of the chamber 181 is brought into a predetermined vacuum state, the wafer W is rotated through the support member 182 by the rotation driving mechanism 184, and the wafer W is heated to a predetermined temperature by the heating lamp 202 of the lamp chamber 200 as necessary. In the case of processing at room temperature, the heating lamp 202 is not used.
  • the High-k film on the wafer W is subjected to an ultraviolet-excited radical oxidation process as a first modification process. This removes impurities from the High-k film and densifies the film.
  • the film forming process and the first reforming process can be performed in the same chamber, it is possible to improve the efficiency of the process and reduce the cost of the apparatus.
  • Example 2 of an apparatus capable of performing the film forming process and the first reforming process in the same chamber An example 2 of an apparatus capable of performing the film forming process and the first reforming process in the same chamber is shown.
  • FIG. 31 is a cross-sectional view showing an example 2 of an apparatus capable of performing the film forming process and the first reforming process in the same chamber.
  • the processing apparatus 210 has a substantially cylindrical chamber 211 that is airtight, and a susceptor 212 on which a wafer W is placed is supported by a support leg 213 in the chamber 211. Is provided.
  • a heater 214 is embedded in the susceptor 212, and a heater power source 215 is connected to the heater 214, and the temperature of the wafer W is controlled by a controller (not shown) based on a temperature signal of a thermocouple (not shown). Is to control.
  • the susceptor 212 can be moved up and down by a lifting mechanism (not shown).
  • An annular exhaust path 216 is provided on the outer periphery of the chamber 211, and the chamber 211 and the exhaust path 216 are connected via an exhaust hole 217.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 216 so that the inside of the chamber 211 is exhausted.
  • This microwave introduction mechanism 218 is a miniaturization of the microwave introduction mechanism of the second example, and includes a waveguide made of a cylindrical coaxial cable, a planar antenna provided at the tip thereof, a waveguide, and the like. And a tuner movably provided on the tube.
  • a gas discharge member 220 that discharges gas radially is provided inside the top wall of the chamber 211.
  • the gas radiating member 220 is attached to a guide member 223 having a concave shape at the center, and the gas discharged radially from the gas radiating member 220 is discharged toward the wafer W.
  • the gas release member 220 has a hemispherical shape and is provided with a number of holes divided into two systems.
  • a first gas pipe 221 for supplying a source gas for film formation is connected to the holes of the first group.
  • the second group of holes is connected to a second gas pipe 222 for supplying an oxidizing agent and a processing gas used for the first reforming process.
  • the wafer W is loaded into the chamber 211 and placed on the susceptor 212. Then, after adjusting the process gap so as to minimize the space exposed to the source gas by a lifting mechanism (not shown), the chamber 211 is evacuated to a predetermined vacuum state, and the heater 214 brings the wafer W to a predetermined temperature. While heating, a source gas and an oxidizing agent are supplied simultaneously or alternately from the gas release member 220, and a High-k film, for example, an HfO 2 film or an HfSiOx film is formed by CVD or ALD. As the source gas and the oxidizing agent, those described above can be used. The source gas is supplied, for example, by pumping a liquid source from a source container and vaporizing it with a vaporizer.
  • the raw material gas supplied from the gas radiating member 220 and the oxidant react on the heated wafer W, and a predetermined High-k film is formed on the wafer W.
  • the supply of the source gas and the oxidant is stopped, the inside of the chamber 211 is purged as necessary, the process gap is adjusted, and the first reforming process is performed in the same chamber.
  • the inside of the chamber 211 is evacuated to a predetermined vacuum state, and the microwave generated by a microwave generation unit (not shown) is amplified by an amplifier and guided to the microwave introduction mechanism 218 via the waveguide, and is built therein.
  • a microwave is introduced into the chamber 211 from the planar antenna, and a processing gas is introduced radially from the gas release member 220 into the chamber 211.
  • the processing gas is converted into plasma by the microwave, and radicals in the plasma cause the wafer on the wafer W.
  • a first modification process (microwave plasma process) is performed on the High-k film.
  • As the processing gas O 2 gas, O 2 gas + rare gas, rare gas, or rare gas + N 2 gas can be used.
  • the microwave introduction mechanism 218 of this example has a compact structure, the degree of freedom of installation is high, and the microwave can be efficiently irradiated to the wafer W by changing the angle according to the height position of the wafer W or the like. It is possible to adjust to.
  • the film forming process and the first reforming process can be performed in the same chamber, the efficiency of the process can be improved and the cost of the apparatus can be reduced.
  • Example 3 of apparatus capable of performing film formation process and first modification process in the same chamber An example 3 of an apparatus capable of performing the film forming process and the first reforming process in the same chamber is shown.
  • FIG. 32 is a cross-sectional view showing an example 3 of an apparatus that can perform the film forming process and the first reforming process in the same chamber. Since Example 3 is different from Example 2 only in the gas introduction method, other common parts are denoted by the same reference numerals and description thereof is omitted.
  • the processing apparatus 210 ′ has a plurality of gas introduction plugs 230 inserted in the top wall of the chamber 211, and the gas introduction plug 230 has a first hole 231 and a second hole 232 penetrating vertically.
  • the first hole 231 is connected to a first gas pipe 233 for supplying a source gas for film formation
  • the second hole 232 is connected to an oxidant and a first reformer used for film formation.
  • a second gas pipe 234 for supplying a processing gas used in the processing is connected.
  • the film forming process and the first reforming process can be continuously performed in the same chamber 211 as in Example 2.
  • FIG. 33 is a cross-sectional view showing an example 2 of an apparatus that can perform the film forming process, the first modifying process, and the second modifying process in the same chamber.
  • the processing apparatus 240 has a substantially cylindrical chamber 241 configured to be airtight, and a susceptor 242 on which the wafer W is placed is supported by a support leg 243 in the chamber 241. Is provided.
  • a heater 244 is embedded in the susceptor 242, and a heater power source 245 is connected to the heater 244, and the temperature of the wafer W is controlled by a controller (not shown) based on a temperature signal of a thermocouple (not shown). Is to control.
  • the susceptor 242 can be moved up and down by a lifting mechanism (not shown).
  • the exhaust path 246 is provided in an annular shape on the outer periphery of the chamber 241, and the chamber 241 and the exhaust path 246 are connected via an exhaust hole 247.
  • An exhaust mechanism (not shown) such as a vacuum pump is connected to at least one location of the exhaust path 246 so that the inside of the chamber 241 is exhausted.
  • microwave introduction mechanisms 248 and three microwave irradiation mechanisms 249 are alternately provided in a circumferential shape as shown in FIG.
  • the microwave introduction mechanism 248 is configured in the same manner as the microwave introduction mechanism 118
  • the microwave irradiation mechanism 249 is configured in the same manner as the microwave irradiation mechanism 157.
  • a plurality of gas introduction plugs 250 are inserted in the top wall of the chamber 241, and the first hole 251 and the second hole 252 penetrate the gas introduction plug 250 vertically.
  • the first hole 251 is connected to a first gas pipe 253 for supplying a source gas for film formation, and the second hole 252 is filled with an oxidant and a first reformer used for film formation.
  • a second gas pipe 254 for supplying a processing gas used in the processing and a processing gas used in the second reforming process is connected.
  • the wafer W is loaded into the chamber 241 and placed on the susceptor 242. Then, after adjusting the process gap so as to minimize the space exposed to the source gas by a lifting mechanism (not shown), the chamber 241 is evacuated to a predetermined vacuum state, and the heater 244 brings the wafer W to a predetermined temperature. While heating, a source gas and an oxidant are supplied simultaneously or alternately from the gas introduction plug 250, and a High-k film, for example, an HfO 2 film or an HfSiOx film is formed by CVD or ALD. As the source gas and the oxidizing agent, those described above can be used. The source gas is supplied, for example, by pumping a liquid source from a source container and vaporizing it with a vaporizer.
  • the source gas and the oxidant supplied from the gas introduction plug 250 react on the heated wafer W, and a predetermined High-k film is formed on the wafer W.
  • the supply of the source gas and the oxidant is stopped, the inside of the chamber 241 is purged as necessary, the process gap is adjusted, and the first reforming process is performed in the same chamber.
  • the inside of the chamber 241 is evacuated to a predetermined vacuum state, and the microwave generated by a microwave generation unit (not shown) is amplified by an amplifier and guided to the microwave introduction mechanism 248 through the waveguide, and is built therein.
  • a microwave is introduced into the chamber 241 from the planar antenna, a processing gas is introduced into the chamber 241 from the gas introduction plug 250, the processing gas is turned into plasma by the microwave, and radicals in the plasma cause a high ⁇ on the wafer W.
  • a first modification process (microwave plasma process) is performed on the k film.
  • the processing gas O 2 gas, O 2 gas + rare gas, rare gas, or rare gas + N 2 gas can be used.
  • the output of the microwave introduction mechanism 248 is stopped, the inside of the chamber 241 is purged as necessary, the process gap is adjusted, and the second reforming process ( Microwave irradiation process).
  • the high-k film can be crystallized at a low temperature of 400 ° C. or lower.
  • the film forming process, the first reforming process, and the second modifying process can be performed in the same chamber, the efficiency of the process is extremely high, and the apparatus cost can be greatly reduced.
  • the present invention is not limited to the above embodiment and can be variously modified.
  • the example in which the HfO 2 film and the HfSiOx film are mainly used as the High-k film has been described.
  • the present invention is not limited to this.
  • the radical treatment applied to the first reforming treatment is not limited to the above embodiment as long as the thermal budget is small.
  • the silicon wafer silicon substrate
  • other semiconductor substrates may be used.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

L'invention concerne un procédé de formation d'un film d'isolation de grille, procédé dans lequel un film d'isolation de grille est formé sur un substrat semi-conducteur, lequel procédé comprend : une étape de formation de film consistant à former un film hautement diélectrique sur le substrat semi-conducteur par dépôt chimique en phase gazeuse ou dépôt de couches atomiques ; une première étape de modification consistant à appliquer un traitement radial au film hautement diélectrique formé et à le modifier, à une température inférieure à la température de formation de film ; et une seconde étape de modification consistant à appliquer un traitement thermique au film hautement diélectrique formé dans la première étape de modification et provoquer une cristallisation dans celui-ci.
PCT/JP2012/063219 2011-06-03 2012-05-23 Procédé de formation d'un film d'isolation de grille et dispositif de formation d'un film d'isolation de grille WO2012165263A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011125741 2011-06-03
JP2011-125741 2011-06-03

Publications (1)

Publication Number Publication Date
WO2012165263A1 true WO2012165263A1 (fr) 2012-12-06

Family

ID=47259122

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/063219 WO2012165263A1 (fr) 2011-06-03 2012-05-23 Procédé de formation d'un film d'isolation de grille et dispositif de formation d'un film d'isolation de grille

Country Status (2)

Country Link
TW (1) TW201310532A (fr)
WO (1) WO2012165263A1 (fr)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014168096A1 (fr) * 2013-04-07 2014-10-16 Murakawa Shigemi Dispositif ald semi-continu rotatif et procédé associé
JP2016149576A (ja) * 2016-05-09 2016-08-18 東京エレクトロン株式会社 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
US9741559B2 (en) 2013-02-22 2017-08-22 Tokyo Electron Limited Film forming method, computer storage medium, and film forming system
JP2022111081A (ja) * 2021-01-18 2022-07-29 ユ-ジーン テクノロジー カンパニー.リミテッド 薄膜における不純物の除去方法及び基板処理装置
JP2022550433A (ja) * 2019-10-02 2022-12-01 アプライド マテリアルズ インコーポレイテッド ゲートオールアラウンドi/oエンジニアリング

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006699A (ja) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2004031394A (ja) * 2002-06-21 2004-01-29 Fujitsu Ltd 半導体装置の製造方法
JP2005079445A (ja) * 2003-09-02 2005-03-24 Tadahiro Omi 半導体装置及びその製造方法
JP2005166710A (ja) * 2003-11-28 2005-06-23 Matsushita Electric Ind Co Ltd 薄膜成膜方法
JP2006040945A (ja) * 2004-07-22 2006-02-09 Tokyo Electron Ltd 高誘電率膜の処理装置および処理方法
JP2007258286A (ja) * 2006-03-22 2007-10-04 Tokyo Electron Ltd 熱処理装置、熱処理方法及び記憶媒体
JP2009021560A (ja) * 2007-06-15 2009-01-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2009076747A (ja) * 2007-09-21 2009-04-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法
WO2009099254A1 (fr) * 2008-02-08 2009-08-13 Tokyo Electron Limited Procédé de formation de film isolant, support de stockage à partir duquel des informations sont lisibles par ordinateur, et système de traitement
JP2010170974A (ja) * 2008-12-22 2010-08-05 Tokyo Electron Ltd プラズマ源およびプラズマ処理装置
JP2011079733A (ja) * 2009-10-06 2011-04-21 Advantest Corp 製造装置および製造方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006699A (ja) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2004031394A (ja) * 2002-06-21 2004-01-29 Fujitsu Ltd 半導体装置の製造方法
JP2005079445A (ja) * 2003-09-02 2005-03-24 Tadahiro Omi 半導体装置及びその製造方法
JP2005166710A (ja) * 2003-11-28 2005-06-23 Matsushita Electric Ind Co Ltd 薄膜成膜方法
JP2006040945A (ja) * 2004-07-22 2006-02-09 Tokyo Electron Ltd 高誘電率膜の処理装置および処理方法
JP2007258286A (ja) * 2006-03-22 2007-10-04 Tokyo Electron Ltd 熱処理装置、熱処理方法及び記憶媒体
JP2009021560A (ja) * 2007-06-15 2009-01-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2009076747A (ja) * 2007-09-21 2009-04-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法
WO2009099254A1 (fr) * 2008-02-08 2009-08-13 Tokyo Electron Limited Procédé de formation de film isolant, support de stockage à partir duquel des informations sont lisibles par ordinateur, et système de traitement
JP2010170974A (ja) * 2008-12-22 2010-08-05 Tokyo Electron Ltd プラズマ源およびプラズマ処理装置
JP2011079733A (ja) * 2009-10-06 2011-04-21 Advantest Corp 製造装置および製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9741559B2 (en) 2013-02-22 2017-08-22 Tokyo Electron Limited Film forming method, computer storage medium, and film forming system
WO2014168096A1 (fr) * 2013-04-07 2014-10-16 Murakawa Shigemi Dispositif ald semi-continu rotatif et procédé associé
JP2014201804A (ja) * 2013-04-07 2014-10-27 村川 惠美 回転型セミバッチald装置およびプロセス
JP2016149576A (ja) * 2016-05-09 2016-08-18 東京エレクトロン株式会社 成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム
JP2022550433A (ja) * 2019-10-02 2022-12-01 アプライド マテリアルズ インコーポレイテッド ゲートオールアラウンドi/oエンジニアリング
JP2022111081A (ja) * 2021-01-18 2022-07-29 ユ-ジーン テクノロジー カンパニー.リミテッド 薄膜における不純物の除去方法及び基板処理装置
JP7291253B2 (ja) 2021-01-18 2023-06-14 ユ-ジーン テクノロジー カンパニー.リミテッド 薄膜における不純物の除去方法及び基板処理装置
US11972946B2 (en) 2021-01-18 2024-04-30 Eugene Technology Co., Ltd. Method for removing impurities in thin film and substrate processing apparatus

Also Published As

Publication number Publication date
TW201310532A (zh) 2013-03-01

Similar Documents

Publication Publication Date Title
WO2013035561A1 (fr) Procédé de fabrication de dispositif semi-conducteur et système de traitement de substrat
US8866271B2 (en) Semiconductor device manufacturing method, substrate processing apparatus and semiconductor device
US9418837B2 (en) Semiconductor device manufacturing method and substrate treatment system
US8492292B2 (en) Methods of forming oxide layers on substrates
US8021987B2 (en) Method of modifying insulating film
JP3746968B2 (ja) 絶縁膜の形成方法および形成システム
US20070218687A1 (en) Process for producing materials for electronic device
US20080233764A1 (en) Formation of Gate Insulation Film
TW200913069A (en) Methods for low temperature oxidation of a semiconductor device
US7622402B2 (en) Method for forming underlying insulation film
JPWO2009099252A1 (ja) 絶縁膜のプラズマ改質処理方法
WO2012165263A1 (fr) Procédé de formation d'un film d'isolation de grille et dispositif de formation d'un film d'isolation de grille
KR101444765B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JPWO2006137287A1 (ja) 半導体装置の製造方法および基板処理装置
US20050227500A1 (en) Method for producing material of electronic device
JP4526995B2 (ja) ゲート絶縁膜の形成方法ならびにコンピュータ読取可能な記憶媒体およびコンピュータプログラム
KR20120030010A (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5286565B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
WO2007132884A1 (fr) Procédé de fabrication d'un dispositif semi-conducteur et appareil de traitement de substrat
TW201715657A (zh) 包含鍺之半導體元件及其形成方法
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12793269

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12793269

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: JP