TW201715657A - 包含鍺之半導體元件及其形成方法 - Google Patents

包含鍺之半導體元件及其形成方法 Download PDF

Info

Publication number
TW201715657A
TW201715657A TW105130107A TW105130107A TW201715657A TW 201715657 A TW201715657 A TW 201715657A TW 105130107 A TW105130107 A TW 105130107A TW 105130107 A TW105130107 A TW 105130107A TW 201715657 A TW201715657 A TW 201715657A
Authority
TW
Taiwan
Prior art keywords
germanium
layer
semiconductor device
aluminum
containing semiconductor
Prior art date
Application number
TW105130107A
Other languages
English (en)
Other versions
TWI621218B (zh
Inventor
坎達巴拉 N 泰伯利
羅伯特 D 克拉克
史帝芬 P 康席格理歐
科瑞 瓦伊達
赫里特 J 盧森克
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201715657A publication Critical patent/TW201715657A/zh
Application granted granted Critical
Publication of TWI621218B publication Critical patent/TWI621218B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

描述含鍺半導體元件及用以形成含鍺半導體元件的方法。方法包括提供含鍺基板、在含鍺基板上沉積含鋁擴散阻障層、在含鋁擴散阻障層上沉積高k層、及使高k層暴露至原子氧以減少高k層之等效氧化物厚度(equivalent oxide thickness, EOT),而避免氧化含鍺基板。含鍺半導體元件包括含鍺基板、含鍺基板上之含鋁擴散阻障層、及含鋁擴散阻障層上之高k層,其中高k層已暴露至原子氧以減少高k層之EOT,同時避免氧化含鍺基板。

Description

包含鍺之半導體元件及其形成方法
本發明大致關於半導體元件,且尤其關於包含在含鍺基板上之低等效氧化物厚度(EOT)高k層的含鍺半導體元件、及形成該元件的方法。
隨著金屬氧化物半導體場效電晶體(MOSFET)繼續擴展,短通道效應已成為日益增長的問題,並已導入如FinFET與三閘極之新元件架構。具有高遷移率通道的半導體元件(像是含鍺(Ge)的半導體元件及三五族半導體元件)提供超越傳統含矽(Si)半導體元件之增加的元件性能之可能性。包含高介電常數(高k)層之含鍺半導體元件的挑戰包括:在含鍺基板上之高k層的沉積與處理期間,保護含鍺基板對抗氧化及/或劣化的需求。
描述含鍺半導體元件及用以形成含鍺半導體元件的方法。含鍺半導體元件包括含鍺基板、含鍺基板上之含鋁擴散阻障層、及含鋁擴散阻障層上之高k層,其中高k層已暴露至原子氧以減少高k層之等效氧化物厚度(equivalent oxide thickness, EOT),而避免氧化含鍺基板。
依據一實施例,該方法包括提供含鍺基板、在含鍺基板上沉積含鋁擴散阻障層、在含鋁擴散阻障層上沉積高k層、及使高k層暴露至原子氧以減少高k層之EOT,而避免氧化含鍺基板。
先進含鍺半導體元件的挑戰:包括在半導體的處理期間(例如在含鍺基板上之高k層的沉積與後沉積處理期間)保護含鍺基板對抗氧化及/或劣化的需求。本發明實施例描述用以在含鍺基板與高k層之間形成含鋁擴散阻障層的方法。在後沉積處理期間,含鋁擴散阻障層(例如,Al2 O3 )提供對鍺擴散至上覆的高k層中之良好阻隔及對氧擴散至含鍺基板中之良好阻隔,此包括使高k層暴露至原子氧以減少高k層之等效氧化物厚度(EOT),同時避免氧化含鍺基板。
本發明人已發現:使高k層暴露至原子氧以減少高k層的等效氧化物厚度(EOT)同時避免氧化含鍺基板,導致低的EOT(例如,小於7埃(Å)),其達到國際半導體技術發展路線圖(International Technology Roadmap for Semiconductors, ITRS)對10nm、7nm、與5nm節點之漏電流密度及熱穩定性(<500℃)的需求。
現參照圖式,圖1顯示依據本發明實施例之形成含鍺半導體元件的方法的流程圖100。在步驟102中,方法包括在處理室中提供含鍺基板。在一些實例中,處理室能夠執行可選自原子層沉積(ALD)、化學氣相沉積(CVD)、電漿增強ALD(PEALD)、與電漿增強CVD(PECVD)的薄膜沉積。含鍺基板可包括Ge或SiGe。SiGe可表示成Six Ge1-x (其中x為Si的原子分率,且1-x為Ge的原子分率)。範例性Six Ge1-x 化合物包括Si0.1 Ge0.9 、Si0.2 Ge0.8 、Si0.3 Ge0.7 、Si0.4 Ge0.6 、Si0.5 Ge0.5 、Si0.6 Ge0.4 、Si0.7 Ge0.3 、Si0. 8 Ge0.2 、與Si0.9 Ge0.1 。可使用稀釋氫氟酸(DHF)或化學氧化物移除製程(chemical oxide removal process, COR)清除含鍺基板之任何氧化物層或污染物。因此,可將含鍺基板的表面製備成實質無氧。或者,GeO2 層可在含鍺基板上形成。然而,GeO2 層的出現可能增加最終含鍺半導體元件之等效氧化物厚度(EOT)。
在步驟104中,方法更包括在含鍺基板上沉積含鋁擴散阻障層。含鋁擴散阻障層的厚度可在例如約3Å與約20Å之間、約3Å與約10Å之間、或約4Å與約6Å之間。在一實施例中,含鋁擴散阻障層可包含氧化鋁(Al2 O3 )、鋁氧氮化物(AlON)、鋁氮化物(AlN)、或其組合。含鋁擴散阻障層可使用鋁前驅物與氧化源、氮化源、或氧化源及氮化源兩者,藉由ALD、CVD、PEALD、或PECVD沉積至含鍺基板上。
本發明實施例可利用各式各樣用以沉積含鋁擴散阻障層的鋁前驅物。鋁前驅物的範例包括但不受限於AlMe3 、AlEt3 、AlMe2 H、[Al(Os Bu)3 ]4 、Al(CH3 COCHCOCH3 )3 、AlCl3 、AlBr3 、AlI3 、Al(Oi Pr)3 、[Al(NMe2 )3 ]2 、Al(i Bu)2 Cl、Al(i Bu)3 、Al(i Bu)2 H、AlEt2 Cl、Et3 Al2 (Os Bu)3 、Al(THD)3 、H3 AlNMe3 、 H3 AlNEt3 、H3 AlNMe2 Et、與H3 AlMeEt2
本發明實施例可利用各式各樣用以沉積含鋁擴散阻障層的氧化源與氮化源。氧化源可包括但不受限於O2 、原子氧(O)、臭氧(O3 )、水(H2 O)、或過氧化物(H2 O2 )、或其組合,及任選如氬氣(Ar)之惰性氣體。氮化源可包括但不受限於氨(NH3)、原子氮(N)、聯胺(N2H4)、和C1 至C10 烷基聯胺化合物。一般C1 與C2 烷基聯胺化合物包括單甲基聯胺(MeNHNH2 )、1,1-二甲基聯胺(Me2 NHNH2 )、與1,2-二甲基聯胺(MeNHNHMe)。依據一實施例,可利用氧化源與氮化源的混合物。依據一實施例,氧化與氮化源可能例如包含NO、NO2 、或N2 O、或其組合,及任選如Ar的惰性氣體。
在步驟106中,方法更包括在含鋁擴散阻障層上沉積高k層。高k層的厚度可在例如約1nm與約10nm之間、約1.5nm與約5nm之間、或約2nm與約4nm之間。高k層可使用高k前驅物與氧化源、氮化源、或氧化源及氮化源兩者,藉由ALD、CVD、PEALD、或PECVD沉積至含鋁基板上。
在一實施例中,高k層包括鉿、鋯、鈦、稀土元素、或其組合。例如,高k層可包含鉿的氧化物、鋯的氧化物、鈦的氧化物、稀土元素的氧化物、或其組合。範例包括TiO2 、HfO2 、ZrO2 、HfSiO、ZrSiO、HfON、 ZrON、HfZrO、HfZrON、HfZrSiO、或HfZrSiON、或其二或更多之組合。在其他範例中,高k層可包括包含稀土元素之氧化物、氮化物、或氧氮化物,例如釔(Y)、鎦(Lu)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm) 、或鐿(Yb)、或二或更多之任何組合。以稀土為基礎之高k層的範例包括鑭氧化物(La2 O3 )、鎦氧化物(Lu2 O3 )、與鑭鎦氧化物(LaLuO3 )。
本發明實施例可利用各式各樣用以沉積高k層的氧化源與氮化源。氧化源可包括但不受限於O2 、原子氧(O)、臭氧(O3 )、水(H2 O)、或過氧化物(H2 O2 )、或其組合,及任選如Ar的惰性氣體。氮化源可包括但不受限於氨(NH3 )、原子氮(N)、聯胺(N2 H4 )、與C1 至C10 烷基聯胺化合物。一般C1 與C2 烷基聯胺化合物包括單甲基聯胺(MeNHNH2 )、1,1-二甲基聯胺(Me2 NHNH2 )、與1,2-二甲基聯胺(MeNHNHMe)。依據一實施例,可利用氧化源與氮化源的混合物。依據一實施例,氧化與氮化源可例如包含NO、NO2 、或N2 O、或其組合,及任選如Ar的惰性氣體。
在步驟108中,方法更包括使高k層暴露至原子氧以減少高k層的EOT,而避免氧化含鍺基板。依據一些實施例,曝露包括使高k層暴露至電漿激發的氧化氣體。在一範例中,氧化氣體包含O2 與任選的惰性氣體。氧化氣體可藉由電漿源(如微波電漿源)而電漿激發。微波電漿源的範例於圖4至7中描述。
考慮使高k層暴露至原子氧,藉由修復高k層中之氧空缺而減少高k層的EOT。高k層與含鍺基板之間的含鋁擴散阻障層的出現在原子氧暴露期間有效地作為氧擴散的阻隔,因而避免將增加EOT之含鍺基板的氧化。
方法更可包括在高k層上沉積含金屬閘電極。在一實施例中,含金屬閘電極可包括TiN、TiAlN、W、或TaN、或其二或更多之組合。在含金屬閘電極沉積含金屬閘電極之後,可進一步處理所得膜結構以形成電晶體之閘極疊構。
圖2顯示含鍺測試樣品之電容對電壓。標記測試樣品為Ge/Al2 O3 /DADA ZrO2 + SPAO:202、Ge/Al2 O3 /DADA HfO2 + SPAO:204、與Ge/Al2 O3 /ZrO2 + SPAO:206。在後沉積處理(SPAO)期間使HfO2 與ZrO2 高k層暴露至電漿激發的氧化氣體(O2 +Ar)。氧化氣體使用來自Tokyo Electron Limited(Tokyo,Japan)的RLSATM 微波電漿處理系統加以電漿激發。由於電漿中的低電子溫度,微波電漿處理系統非常適合處理高k層。Al2 O3 層為1nm厚,且藉由使用三甲基鋁(TMA)與水(H2O)的交替暴露之Ge基板上的ALD而沉積。軌跡206中的ZrO2 層為3nm厚,且藉由使用四乙基甲基氨基鋯(TEMAZ)與H2 O的交替曝露之Al2 O3 層上的ALD而沉積。軌跡202中的ZrO2層藉由在Al2O3層上修正的ALD沉積,其使用具有以DADA(沉積、退火、沉積、退火)表示之間歇退火的TEMAZ與H2 O交替曝露。退火溫度在N2 環境中設定為800℃。DADA製程在美國專利第5,693,645號中描述,其整體內容以參考文獻合併於此。軌跡204中的HfO2 層為3nm厚,且藉由使用具有間歇退火之四乙基甲基氨基鉿(TEMAH)與H2 O之修改的ALD沉積。
依據一實施例,DADA製程可包括:藉由執行原子層沉積之第一複數循環在含鍺基板的表面上形成第一材料之至少一第一單層,且之後使形成的第一材料之至少一第一單層在第一溫度的第一惰性環境下退火,之後藉由執行原子層沉積之第二複數循環形成第二材料之至少一第二單層,其中形成的第二材料之至少一第二單層至少部分地覆蓋退火的第一材料之至少一第一單層,且之後使第二材料之至少一第二單層在第二溫度的第二惰性環境下退火。
圖3顯示圖2含鍺測試樣品之漏電密度對等效電容厚度(CET)。
CET係透過下列方程式與超薄閘極介電質的EOT相關:                           CET ~ EOT + (kSiO2 /k) Zavg 其中k等於實際材料的介電常數、Zavg 等於來自閘極介電界面之反轉載體的平均距離、及kSiO2 等於SiO的介電常數(~3.9)。此外,EOT等於(kSiO2 /k)t,其中t等於實際材料之物理厚度。
自圖2的電容對施加電壓軌跡計算圖3中CET結果。對於測試樣品202、204、與206,CET分別為~0.95nm(302)、~1.0nm(304)、與~1.5nm(306)。相對應之EOT值為~0.69nm(302)、~0.76nm(304)、與~1.2nm(306)。為了比較,在後沉積處理(SPAO)期間沒有暴露至電漿激發氧化氣體(O2 +Ar)之Ge/Al2 O3 /DADA HfO2 、Ge/Al2 O3 /DADA ZrO2 、與Ge/Al2 O3 /ZrO2 ,對於使用在半導體元件具有過高的漏電密度。此顯示後沉積處理使半導體元件中的這些膜疊構得以使用。此外,藉由修正的ALD製程(DADA)沉積的膜疊構顯示改善的CET值,其允許在窄節點(例如10nm、7nm、與5nm節點)中縮放這些膜疊構。
範例性微波電漿處理系統
圖4為依據本發明實施例包含用以處理基板之RLSATM 電漿的微波電漿處理系統的示意圖。在電漿處理系統500中所製電漿的特徵在於低電子溫度與高電漿密度。電漿處理系統500包含在其上部中具有大於基板558之開放部分551的電漿處理室550。設置由石英、鋁氮化物、或鋁氧化物所製之柱狀介電頂板554以覆蓋開放部分551。
氣體管線572定位在頂板554下之電漿處理室550上部的側壁中。在一範例中,氣體管線572的數量可為16(圖4中僅顯示其中之兩者)。或者,可使用不同數量的氣體管線572。氣體管線572可周圍地排列在電漿處理室550中,但此對本發明並非必需。製程氣體可自氣體管線572平均且均勻地供應至電漿處理室550的電漿區域559中。
在電漿處理系統500中,微波功率經由具有複數槽孔560A之槽孔天線560,透過頂板554提供至電漿處理室550。槽孔天線560朝向待處理的基板558,且槽孔天線560可由金屬板(例如銅)製成。為了供給微波功率至槽孔天線560,將波導563設置在頂板554上,其中波導563係連接至用以產生具有例如約2.45GHz頻率之微波之微波電源561。波導563包括:具有連接至槽孔天線560之下端之扁平圓形波導563A、連接至圓形波導563A之上表面側的圓形波導563B、及連接至圓形波導563B之上表面側的同軸波導轉換器563C。再者,將矩形波導563D連接至同軸波導轉換器563C的側表面與微波電源561。
在圓形波導563B內部,同軸設置導電材料之軸向部分562,使得軸向部分562之一端連接至槽孔天線560上表面的中心(或近中心)部分,且軸向部分562之另一端連接至柱狀導波管563B的上表面,因而形成同軸結構。因此,建構圓形波導563B以作為同軸波導。微波功率可例如在約0.5W/cm2 與約4W/cm2 之間。或者,微波功率可在約0.5W/cm2 與約3W/cm2 之間。微波輻射可包含約300MHz至約10GHz(例如約2.45GHz)的微波頻率,而電漿可包含小於或等於5eV(包括1、1.5、2、2.5、3 、3.5、4、4.5、或5eV、或其任何組合)的電子溫度。在其他範例中,電子溫度可低於5eV、低於4.5eV、低於4eV、或甚至低於3.5eV。在一些範例中,電子溫度可在3.0eV與3.5eV之間、3.5eV與4.0eV之間、或者4.0eV與4.5eV之間。電漿可具有約1x1011 /cm3 至約1x1013 /cm3 或更高的密度。
此外,在電漿處理室550中,相對於頂板554設置基板固持器552以支撐及加熱基板558(例如,晶圓)。基板固持器552包含加熱基板525的加熱器557,其中加熱器557可為電阻加熱器。或者,加熱器557可為燈加熱器或任何其他類型的加熱器。再者,電漿處理室550包含連接至電漿處理室550底部與真空泵555的排氣管線553。
電漿處理系統500更包含基板偏壓系統556,基板偏壓系統556用以使基板固持器552與基板558受偏壓而產生電漿及/或控制被拉向基板558之離子能量。基板偏壓系統556包括配置耦合功率至基板固持器552的基板功率源。基板功率源包含RF產生器與阻抗匹配網路。基板功率源用以藉由施以基板固持器552中電極能量而耦合功率至基板固持器552。典型的RF偏壓頻率可在約0.1MHz至約100MHz的範圍內,並可為13.56MHz。在一些範例中,RF偏壓可小於1MHz,例如小於0.8MHz、小於0.6MHz、小於0.4MHz、或甚至小於0.2MHz。在一範例中,RF偏壓可為約0.4MHz。或者,RF功率以多重頻率施加至電極。配置基板偏壓系統556以供給可在0W與100W之間、100W與200W之間、200W與300W之間、300W與400W之間、或400W與500W之間的RF偏壓功率。在一些範例中,RF偏壓功率可例如小於100W、小於50W、或小於25W。用於電漿處理的RF偏壓系統對熟悉本技術領域者係眾所皆知的。再者,基板偏壓系統556包括能夠供給在-5kV與+5kV之間的直流偏壓至基板固持器552的直流電壓產生器。
基板偏壓系統556更用以任選地提供RF偏壓功率之脈衝。脈衝頻率可大於1Hz,例如2Hz、4Hz、6Hz、8Hz、10Hz、20Hz、30Hz、50Hz或更大。 應注意,熟悉本技術領域者將理解:基板偏壓系統556之功率位準與正進行處理之基板尺寸有關。例如,300mm的Si晶圓在處理期間需要比200mm晶圓更大的功率消耗。
仍參考圖4,配置控制器599以控制電漿處理系統500。控制器599可包括微處理器、記憶體、與數位I/O埠,其能夠產生足以傳送與激活電漿處理系統500之輸入及來自電漿處理系統500之監控輸出的控制電壓。再者,控制器599耦合至電漿處理室550、真空泵555、加熱器557、基板偏壓系統556、與微波電源561,並與其交換訊息。利用儲存在記憶體中的程式以依據儲存的製程配方而控制上述電漿處理系統500的元件。控制器599之一範例為以UNIX作業系統為基礎的工作站。或者,控制器599可做為通用計算機、數位訊號處理系統等執行。
圖5為依據本發明另一實施例之包含用以處理基板之RLSATM 電漿的微波電漿處理系統的示意圖。如圖5所示,電漿處理系統10包括電漿處理室20(真空腔室)、天線單元50、與基板固持器21。電漿處理室20的內部大致分成電漿產生區域R1(定位於氣體供應單元30下),與在基板固持器21上之電漿擴散區域R2。在電漿產生區域R1中產生的電漿可能具有數電子伏特(eV)之電子溫度。當電漿擴散至電漿擴散區域R2(執行膜形成製程之處)中時,接近基板固持器21之電漿的電子溫度可能下降至低於約2eV的值。基板固持器21位於電漿處理室20的底部中心,且作為用以支撐基板W的基板固持器。在基板固持器21的內部,設置有絕緣組件21a、冷卻套21b、與用以控制基板溫度的溫控單元(未顯示)。
電漿處理室20的頂部為開放端式。電漿氣體供應單元30相對於基板固持器21設置,並經由如O形環(未顯示)的密封組件貼附至電漿處理室20的頂部。電漿氣體供應單元30(亦可作為介電窗)可由如鋁氧化物或石英的材料製成,並具有平坦表面。複數氣體供應孔31相對於基板固持器21設置在電漿氣體供應單元30之平面表面上。複數氣體供應孔31經由氣流通道32與電漿氣體供應埠33連通。電漿氣體供應源34提供例如氬(Ar)氣、或其他惰性氣體之電漿氣體至電漿氣體供應埠33。隨後,電漿氣體經由複數氣體供應孔31均勻地供給至電漿產生區域R1。
電漿處理系統10更包括製程氣體供應單元40,其集中在電漿產生區域R1與電漿擴散區域R2之間的電漿處理室20中。製程氣體供應單元40可由導電材料製成,例如包括鎂(Mg)的鋁合金、或不銹鋼。與電漿氣體供應單元30相似,複數氣體供應孔41設置在製程氣體供應單元40的平坦表面上。製程氣體供應單元40的平坦表面相對於基板固持器21設置。
電漿處理室20更包括連接至電漿處理室20底部的排氣管線26,與連接排氣管線26至壓力控制器閥28與真空泵29的真空管線27。可使用壓力控制器閥28以達到電漿處理室20中期望的氣體壓力。
圖6顯示製程氣體供應單元40的平面圖。如此圖所示,格子狀氣流通道42在製程氣體供應單元40內形成。格子狀氣流通道42與複數氣體供應孔41的上端連通,此在垂直方向上形成。複數氣體供應孔41的下部為朝向基板固持器21的開口。複數氣體供應孔41經由格子圖案的氣流通道42與製程氣體供應埠43連通。
再者,複數開口44在製程氣體供應單元40中形成,使得複數開口44以垂直方向貫通製程氣體供應單元40。複數開口44使例如氬(Ar)氣、氦(He)氣、或其它惰性氣體之電漿氣體導入至基板固持器21上的電漿擴散區域R2中。如圖6所示,複數開口44在相鄰的氣流通道42之間形成。製程氣體可從三個分離的製程氣體供應源45至47供給至製程氣體供應埠43。製程氣體供應源45至47可供給H2 氣、O2 氣、與Ar氣。然而,可使用其它氣體。
製程氣體流經格子狀氣流通道42,且經由複數氣體供應孔41均勻地供給至電漿擴散區域R2中。電漿處理系統10更包括四個閥(V1至V4)與四個用以控制製程氣體之供應的質流速率控制器(MFC1至MFC4)。
外部微波產生器55經由同軸波導54提供預定頻率(如2.45GHz)的微波至天線單元50。同軸波導54可包括內部導體54B與外部導體54A。來自微波產生器55的微波在略低於電漿產生區域R1中的電漿氣體供應單元30產生電場,此進而導致電漿處理室20中的製程氣體激發。
圖7說明天線單元50的局部剖面圖。如此圖所示,天線單元50可包括平坦天線主體51、徑向線槽孔板52、與介電板53以縮短微波波長。平坦天線主體51可具有含開放端式底面的圓形。平坦天線主體51與徑向線槽孔板52可由導電材料製成。
複數槽56設置在徑向線槽板52上以產生圓偏振波。複數槽孔56以每一槽孔之間具有小間隙之實質T形的形式排列。複數槽56沿圓周方向以同心圓圖案或螺旋圖案排列。因槽56a與56b互相垂直,故包含兩正交偏振分量之圓偏振波自徑向線槽板52輻射(作為平面波)。
介電板53可由低損耗k例如鋁氧化物(Al2 O3 )或氮化矽(Si3 N4 )製成,其位在徑向線槽孔板52與平坦天線主體51之間。徑向線槽孔板52可使用密封組件(未顯示)安裝在電漿處理室20上,使得徑向線槽孔板52與蓋板23緊密接觸。蓋板23位在電漿氣體供應單元30的上表面,並由如鋁氧化物(Al2 O3 )的微波穿透性介電材料形成。
外部高頻供電源22經由匹配網路25與基板固持器21電性連接。外部高頻供電源22產生預定頻率(如13.56MHz)的RF偏壓功率,用以控制電漿中被拉向基板W之離子能量。供電源22更用以任選地提供RF偏壓功率的脈衝。脈衝頻率可大於1Hz,例如2Hz、4Hz、6Hz、8Hz、10Hz、20Hz、30Hz、50Hz、或更大。配置供電源22以供給在0W與100W之間、100W與200W之間、200W與300W之間、300W與400W之間、或400W與500W之間的RF偏壓功率。熟知本技術領域者將理解:供電源22之功率水平與正進行處理之基板尺寸有關。例如,300mm的Si晶圓在處理期間需要比200mm晶圓更大的功率消耗。電漿處理系統10更包括能夠供給-5kV與+5kV之間的DC電壓偏壓至基板固持器21的DC電壓產生器35。
含鍺半導體元件與形成方法已在各種實施例中揭露。為了說明與描述之目的,已呈現本發明實施例之先前描述。此不欲使徹底或限制本發明為所揭之精確形式。此描述與隨後之申請專利範圍包括僅用於描述性目的而不應解釋成限制之用語。熟知相關技術領域者可理解:依據上述教示,許多修改及變化係可能的。熟知相關技術領域者將瞭解圖中所示不同元件之各種等同組合物與替代物。因此,欲使本發明之範疇不受限於此詳細描述,而是由在此所附之申請專利範圍。
10‧‧‧電漿處理系統
20‧‧‧電漿處理室
21‧‧‧基板固持器
21a‧‧‧絕緣組件
21b‧‧‧冷卻套
22‧‧‧供電源
23‧‧‧蓋板
25‧‧‧匹配網路
26‧‧‧排氣管線
27‧‧‧真空管線
28‧‧‧壓力控制器閥
29‧‧‧真空泵
30‧‧‧電漿氣體供應單元
31‧‧‧氣體供應孔
32‧‧‧氣流通道
33‧‧‧電漿氣體供應埠
34‧‧‧電漿氣體供應源
41‧‧‧氣體供應孔
42‧‧‧氣流通道
43‧‧‧氣體供應埠
44‧‧‧開口
45‧‧‧製程氣體供應源
46‧‧‧製程氣體供應源
47‧‧‧製程氣體供應源
50‧‧‧天線單元
51‧‧‧平坦天線主體
52‧‧‧徑向線槽孔板
53‧‧‧介電板
54‧‧‧同軸波導
54A‧‧‧外部導體
54B‧‧‧內部導體
55‧‧‧微波產生器
56‧‧‧槽孔
56a‧‧‧槽孔
56b‧‧‧槽孔
100‧‧‧流程圖
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
202‧‧‧軌跡
204‧‧‧軌跡
206‧‧‧軌跡
302‧‧‧數值
304‧‧‧數值
306‧‧‧數值
500‧‧‧電漿處理系統
550‧‧‧電漿處理室
551‧‧‧開放部分
552‧‧‧基板固持器
553‧‧‧排氣管線
554‧‧‧頂板
555‧‧‧真空泵
556‧‧‧基板偏壓系統
557‧‧‧加熱器
558‧‧‧基板
559‧‧‧區域
560‧‧‧槽孔天線
560A‧‧‧槽孔
561‧‧‧微波電源
562‧‧‧軸向部分
563‧‧‧波導
563A‧‧‧波導
563B‧‧‧波導
563C‧‧‧波導轉換器
563D‧‧‧波導
572‧‧‧氣體管線
599‧‧‧控制器
R1‧‧‧電漿產生區域
R2‧‧‧電漿產生區域
V1‧‧‧閥
V2‧‧‧閥
V3‧‧‧閥
V4‧‧‧閥
W‧‧‧基板
在隨附圖式中:
圖1顯示依據本發明實施例之形成含鍺半導體元件方法的流程圖;
圖2顯示含鍺測試樣品之電容對電壓;
圖3顯示圖2含鍺測試樣品之漏電密度對等效電容厚度(capacitive effective thickness, CET);
圖4為依據本發明實施例之包含用以處理基板之微波電漿源的電漿處理系統的示意圖;
圖5為依據本發明實施例之包含用以處理基板之微波電漿源的另一電漿處理系統的示意圖;
圖6說明圖5中電漿處理系統之氣體供應單元的俯視圖。
圖7說明圖5中電漿處理系統之天線部分的部分橫剖面圖。
100‧‧‧流程圖
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟

Claims (20)

  1. 一種含鍺半導體元件的形成方法,該方法包含: 提供一含鍺基板; 在該含鍺基板上沉積一含鋁擴散阻障層; 在該含鋁擴散阻障層上沉積一高k層;及 暴露該高k層至原子氧以減少該高k層之等效氧化物厚度(equivalent oxide thickness, EOT),同時避免氧化含鍺基板。
  2. 如申請專利範圍第1項之含鍺半導體元件的形成方法,其中該暴露包含暴露該高k層至電漿激發的氧化氣體。
  3. 如申請專利範圍第2項之含鍺半導體元件的形成方法,其中該氧化氣體包含O2 與任選惰性氣體。
  4. 如申請專利範圍第1項之含鍺半導體元件的形成方法,其中該含鍺基板包括Ge或SiGe。
  5. 如申請專利範圍第1項之含鍺半導體元件的形成方法,其中該含鋁擴散阻障層包含鋁氧化物、鋁氧氮化物、鋁氮化物、或其組合。
  6. 如申請專利範圍第1項之含鍺半導體元件的形成方法,其中該高k層包含鉿、鋯、鈦、稀土元素、或其組合。
  7. 如申請專利範圍第1項之含鍺半導體元件的形成方法,其中該高k層包含鉿的氧化物、鋯的氧化物、鈦的氧化物、稀土元素的氧化物、或其組合。
  8. 如申請專利範圍第1項之含鍺半導體元件的形成方法,其中該高k層之EOT在該高k層暴露至該原子氧之後小於0.7nm。
  9. 如申請專利範圍第1項之含鍺半導體元件的形成方法,其中該含鋁擴散阻障層之一物理厚度係約1nm,且該高k層之一物理厚度係約3nm。
  10. 如申請專利範圍第1項之含鍺半導體元件的形成方法,其中沉積該高k層包含: 藉由執行一第一複數循環之原子層沉積在該含鍺基板之一表面上形成第一材料之至少一第一單層; 之後,使所形成之第一材料之至少一第一單層在一第一溫度之一第一惰性環境下退火; 之後,藉由執行一第二複數循環之原子層沉積形成第二材料之至少一第二單層,其中所形成之第二材料之至少一第二單層至少部分地覆蓋退火之該第一材料之至少一第一單層;及 之後,使所形成之該第二材料之至少一第二單層在一第二溫度之一第二惰性環境下退火。
  11. 一種含鍺半導體元件,包含: 一含鍺基板; 一含鋁擴散阻障層,該含鋁擴散阻障層在該含鍺基板上;及 一高k層,該高k層在該含鋁擴散阻障層上,其中該高k層係暴露至原子氧以減少該高k層之等效氧化物厚度(EOT),同時避免氧化含鍺基板。
  12. 如申請專利範圍第11項之含鍺半導體元件,其中該原子氧係藉由電漿激發之一氧化氣體所產生。
  13. 如申請專利範圍第12項之含鍺半導體元件,其中該氧化氣體包含O2 與任選惰性氣體。
  14. 如申請專利範圍第11項之含鍺半導體元件,其中該含鍺基板包括Ge或SiGe。
  15. 如申請專利範圍第11項之含鍺半導體元件,其中該含鋁擴散阻障層包含鋁氧化物、鋁氧氮化物、鋁氮化物、或其組合。
  16. 如申請專利範圍第11項之含鍺半導體元件,其中該高k層包含鉿、鋯、鈦、稀土元素、或其組合。
  17. 如申請專利範圍第11項之含鍺半導體元件,其中該高k層包含鉿的氧化物、鋯的氧化物、鈦的氧化物、稀土元素的氧化物、或其組合。
  18. 如申請專利範圍第11項之含鍺半導體元件,其中該高k層之EOT於該原子氧暴露之後小於0.7nm。
  19. 如申請專利範圍第11項之含鍺半導體元件,其中該含鋁擴散阻障層之一物理厚度係約1nm,且該高k層之一物理厚度係約3nm。
  20. 如申請專利範圍第11項之含鍺半導體元件,其中該高k層藉由下列者而沉積: 藉由執行一第一複數循環之原子層沉積,在該含鍺基板之一表面上形成第一材料之至少一第一單層; 之後,使所形成之該第一材料之至少一第一單層在一第一溫度之一第一惰性環境下退火; 之後,藉由執行一第二複數循環之原子層沉積形成第二材料之至少一第二單層,其中所形成之該第二材料之至少一第二單層至少部分地覆蓋退火之該第一材料之至少一第一單層;及 之後,使該第二材料之至少一第二單層在一第二溫度之一第二惰性環境下退火。
TW105130107A 2015-09-18 2016-09-19 包含鍺之半導體元件及其形成方法 TWI621218B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562220784P 2015-09-18 2015-09-18
US62/220,784 2015-09-18

Publications (2)

Publication Number Publication Date
TW201715657A true TW201715657A (zh) 2017-05-01
TWI621218B TWI621218B (zh) 2018-04-11

Family

ID=58283072

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105130107A TWI621218B (zh) 2015-09-18 2016-09-19 包含鍺之半導體元件及其形成方法

Country Status (5)

Country Link
US (1) US20170084464A1 (zh)
JP (1) JP2018528619A (zh)
KR (1) KR20180043836A (zh)
TW (1) TWI621218B (zh)
WO (1) WO2017049145A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230377879A1 (en) * 2022-05-18 2023-11-23 Applied Materials, Inc. Barrier layer for preventing aluminum diffusion

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1652226A2 (en) * 2003-08-04 2006-05-03 ASM America, Inc. Surface preparation prior to deposition on germanium
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
GB0612093D0 (en) * 2006-06-19 2006-07-26 Univ Belfast IC Substrate and Method of Manufacture of IC Substrate
US8722548B2 (en) * 2010-09-24 2014-05-13 International Business Machines Corporation Structures and techniques for atomic layer deposition
JP2012209457A (ja) * 2011-03-30 2012-10-25 Tokyo Electron Ltd ゲルマニウム酸化膜の形成方法および電子デバイス用材料
US9064865B2 (en) * 2013-10-11 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming gate dielectric layer
US20150132938A1 (en) * 2013-11-13 2015-05-14 Intermolecular, Inc. Methods and Systems for Forming Reliable Gate Stack on Semiconductors
US20150140838A1 (en) * 2013-11-19 2015-05-21 Intermolecular Inc. Two Step Deposition of High-k Gate Dielectric Materials

Also Published As

Publication number Publication date
KR20180043836A (ko) 2018-04-30
WO2017049145A1 (en) 2017-03-23
US20170084464A1 (en) 2017-03-23
TWI621218B (zh) 2018-04-11
JP2018528619A (ja) 2018-09-27

Similar Documents

Publication Publication Date Title
TWI590329B (zh) 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
JP7194171B2 (ja) プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法
KR101639464B1 (ko) 유효 산화물 두께가 감소된 하이-k게이트 스택의 형성 방법
JP4408653B2 (ja) 基板処理方法および半導体装置の製造方法
KR101163264B1 (ko) 플라즈마 프로세싱을 사용하여 하이-k 층을 포함하는 게이트 유전체 스택을 변형하는 방법
KR101161468B1 (ko) 반도체 디바이스의 게이트 스택 처리 방법 및 반도체 디바이스의 게이트 스택 처리 시스템
US9224594B2 (en) Surface preparation with remote plasma
JP2008537848A (ja) 高誘電率誘電体層を形成する方法及びシステム
US20130149852A1 (en) Method for forming a semiconductor device
JP2008515223A (ja) 薄い一面の高誘電率誘電体層の形成方法
US10103027B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US20120248583A1 (en) Method for forming germanium oxide film and material for electronic device
JP2005150637A (ja) 処理方法及び装置
KR102538510B1 (ko) 얇은 산화하프늄 막들 중의 도펀트 농도의 튜닝성
TWI621218B (zh) 包含鍺之半導體元件及其形成方法
KR102553773B1 (ko) 반도체 디바이스에 구조를 형성하는 방법