WO2010113787A1 - マスクブランク及び転写用マスクの製造方法 - Google Patents

マスクブランク及び転写用マスクの製造方法 Download PDF

Info

Publication number
WO2010113787A1
WO2010113787A1 PCT/JP2010/055340 JP2010055340W WO2010113787A1 WO 2010113787 A1 WO2010113787 A1 WO 2010113787A1 JP 2010055340 W JP2010055340 W JP 2010055340W WO 2010113787 A1 WO2010113787 A1 WO 2010113787A1
Authority
WO
WIPO (PCT)
Prior art keywords
light
film
light shielding
mask
mask blank
Prior art date
Application number
PCT/JP2010/055340
Other languages
English (en)
French (fr)
Inventor
雅広 橋本
浩之 岩下
Original Assignee
Hoya株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya株式会社 filed Critical Hoya株式会社
Priority to JP2011507148A priority Critical patent/JP5317310B2/ja
Publication of WO2010113787A1 publication Critical patent/WO2010113787A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof

Definitions

  • the present invention relates to a method for manufacturing a mask blank and a transfer mask in which a light shielding film is thinned.
  • the present invention relates to a mask blank and a transfer mask manufacturing method for manufacturing a transfer mask suitably used in an exposure apparatus using ArF exposure light (wavelength 193 nm) as an exposure light source.
  • a fine pattern is formed using a photolithography method.
  • a number of substrates called transfer masks (photomasks) are usually used for forming this fine pattern.
  • This transfer mask is generally provided with a fine pattern made of a metal thin film or the like on a translucent glass substrate, and a photolithography method is also used in the production of this transfer mask.
  • a mask blank having a thin film (for example, a light shielding film) for forming a transfer pattern (mask pattern) on a light-transmitting substrate such as a glass substrate is used.
  • Photomask production using this mask blank consists of an exposure process for drawing a desired pattern on the resist film formed on the mask blank, and developing the resist film in accordance with the desired pattern drawing to form a resist pattern.
  • a desired pattern is drawn on the resist film formed on the mask blank, and then a developing solution is supplied to dissolve a portion of the resist film that is soluble in the developing solution, thereby forming a resist pattern.
  • the resist pattern is used as a mask to dissolve the exposed portion of the thin film on which the resist pattern is not formed by dry etching or wet etching, thereby forming a desired mask pattern on the light-transmitting substrate. Form. Thus, a transfer mask is completed.
  • a transfer mask in addition to a binary mask having a light-shielding film pattern made of a chromium-based material on a conventional translucent substrate, a halftone phase shift mask is known, and a molybdenum silicide compound is used. Including materials are used. Further, in recent years, a binary mask using a material containing a molybdenum silicide compound as described in Patent Document 1 as a light shielding film has appeared.
  • the thinning of the resist film and the dry etching process have the following technical problems.
  • One is that when the resist film of the mask blank is made thinner, for example, the processing time of the light shielding film is one big limitation.
  • a mixed gas of chlorine gas and oxygen gas is used as an etching gas.
  • the resist is an organic film and its main component is carbon, so it is very weak against oxygen plasma which is a dry etching environment.
  • the light shielding film is patterned by dry etching, the resist pattern formed on the light shielding film must remain with a sufficient thickness.
  • the resist film thickness must remain so as to remain even if it is performed about twice the just etching time (100% overetching).
  • the etching selection ratio between chromium, which is a material of the light shielding film, and the resist film is 1 or less, so the film thickness of the resist film is more than twice the film thickness of the light shielding film. It will be necessary. Therefore, in order to reduce the thickness of the resist film, it is necessary to shorten the processing time of the light shielding film. To that end, it is an important issue to reduce the thickness of the light shielding film.
  • NA numerical aperture
  • the line width of the transfer pattern on the transfer mask is smaller than the wavelength 193 nm of ArF exposure light, and
  • EMF electromagnetic field
  • the electromagnetic field effect (EMF) bias greatly affects the CD accuracy of the transfer pattern line width to the resist on the wafer. For this reason, it is necessary to perform a simulation of an electromagnetic field effect and to correct a transfer pattern produced on a transfer mask for suppressing the influence of an EMF bias.
  • This transfer pattern correction calculation becomes more complicated as the EMF bias increases.
  • the corrected transfer pattern becomes more complex as the EMF bias increases, and a larger load is imposed on the transfer mask fabrication.
  • the present invention has been made to solve the conventional problems, and the object of the present invention is to provide a mask blank and a mask blank that can reduce the thickness of a light-shielding film that solves the shadowing problem and the problem related to the EMF effect. It is to provide a method for manufacturing a transfer mask.
  • the present inventors have intensively studied on the problem of the electromagnetic field (EMF) effect.
  • EMF electromagnetic field
  • the thickness of the light shielding film is less than 50 nm, the influence can be greatly reduced.
  • a material having a high optical density has a high reflectance with respect to exposure light.
  • the light-shielding film needs to have low reflectivity with respect to the exposure light on the surface where the light-shielding film is exposed as a transfer pattern after fabrication of the transfer mask, with a predetermined value or less (at least less than 40%).
  • the light shielding film In order to realize a thin film, the light shielding film needs to have at least a two-layer structure of a light shielding layer and a surface antireflection layer. Since the surface antireflection layer needs to secure a certain degree of transmittance in order to reduce surface reflection, it cannot contribute much in terms of optical density. For this reason, it is not easy to realize a light shielding film having an optical density (for example, 2.8) required as a binary mask blank with a film thickness of less than 50 nm.
  • an optical density for example, 2.8
  • the exposure apparatus irradiates the transfer mask with ArF exposure light from the surface side (back side) where the transfer pattern of the translucent substrate is not formed. That is, ArF exposure light is incident from the side of the light shielding film that is in contact with the translucent substrate. For this reason, if the reflectance (back surface reflectance) of exposure light on the light-transmitting substrate side of the light-shielding film is high, there is a possibility that phenomena such as flare and ghost may occur, which adversely affects the image formation of the transfer pattern on the wafer. There was to give. While some adverse effects can be reduced by the internal mechanism of the exposure apparatus, some exposure apparatuses that are widely used cannot cope.
  • the light shielding film so that the back surface reflectance is at least 50% or less.
  • One is a method of forming a back-surface antireflection layer with a material that is highly oxidized, highly nitrided, or highly oxynitrided between a light-transmitting substrate and a light shielding layer, and the other is a light-transmitting substrate.
  • the light shielding layer is formed of a material that is oxidized, nitrided, or oxynitrided to some extent (at least 10 atomic% of the material is occupied by oxygen and nitrogen).
  • the film thickness must be 5 nm or more, and the light shielding layer must be less than 40 nm. Since the back surface antireflection layer contributes little to the optical density in the same manner as the front surface antireflection layer, it is more difficult to ensure the optical density of the entire light shielding film. Further, in the method of oxidizing the light shielding layer, the optical density of the light shielding layer itself is lowered by oxidation or the like, so that it is necessary to increase the film thickness, and it becomes difficult to ensure the optical density of the entire light shielding film.
  • a binary mask using a transition metal silicide-based material such as a material containing a molybdenum silicide compound disclosed in the above-mentioned patent document as a light-shielding film
  • a light-transmitting substrate composed mainly of silicon oxide is easily etched by dry etching with a fluorine-based gas. To reduce the digging of the light-transmitting portion of the transfer mask, detection of the end point of the light-shielding film is detected. It is important to improve accuracy.
  • the present inventor As a result of studying various materials, the present inventor, as long as the material is composed of transition metal and silicon, the back surface reflectance is 50% or less even when the light shielding layer is formed in contact with the surface of the translucent substrate. And, if it is less than 10 atomic%, even if other elements are contained in the material composed of transition metal and silicon, the light shielding performance is lowered so as to affect the optical density of the light shielding layer. I found out that I could not see. Furthermore, the inventor uses a material composed of a transition metal and silicon for the light-shielding layer formed in contact with the surface of the translucent substrate, and the content of other elements is less than 10 atomic%. It was found that the etching end point detection accuracy of the light shielding layer in the optical etching end point detection method is greatly improved.
  • the present inventor completed the present invention as a result of further intensive studies based on the above elucidated facts and considerations. That is, in order to solve the above problems, the present invention has the following configuration.
  • (Configuration 1) A mask blank used to create a transfer mask to which ArF excimer laser exposure light is applied and having a light-shielding film for forming a transfer pattern on a translucent substrate, wherein the light-shielding film is a film
  • a light-shielding layer having a thickness of less than 50 nm and in contact with the surface of the light-transmitting substrate, made of a material having a total content of transition metal and silicon of 90 atomic% or more, and formed on the upper surface of the light-shielding layer
  • the light-shielding layer has a surface reflection rate with respect to light having a wavelength of 600 to 700 nm when the light-shielding layer is formed with a film thickness of 10 nm, and the light having a wavelength of 600 to 700 n
  • (Configuration 2) The mask blank according to Configuration 1, wherein the light shielding film has an optical density of 2.3 or more.
  • (Configuration 3) Content of transition metal in the said light shielding layer is 9 atomic% or more and 40 atomic% or less, It is a mask blank of the structure 1 or 2 characterized by the above-mentioned.
  • the light shielding layer having a total content of transition metal and silicon of 90 atomic% or more is formed in contact with the surface of the translucent substrate, and the light shielding layer has a wavelength when formed with a film thickness of 10 nm.
  • a light-shielding film required as a binary mask is formed by using a material whose surface reflectance for light of 600 to 700 nm is 10% or more higher than that of the light-transmitting substrate for light having a wavelength of 600 to 700 nm.
  • the optical density can be realized with a film thickness of less than 50 nm, the problem related to the EMF bias and the problem related to the shielding effect can be solved, and furthermore, the etching end point with high accuracy by the optical etching end point detection method can be achieved.
  • a mask blank and a transfer mask that can be detected can be provided.
  • FIG. It is a figure which shows the range of n and k of the light shielding film material which can detect an optical etching end point, and is the figure which plotted the light shielding film material of Example 3 and Example 4.
  • FIG. It is a figure which shows the relationship between the molybdenum content in the thin film which consists of molybdenum and silicon, and the optical density per unit film thickness.
  • the present invention is a mask blank which is used to create a transfer mask to which ArF exposure light is applied, and which has a light-shielding film for forming a transfer pattern on a translucent substrate.
  • the light-shielding layer has a surface reflectance with respect to light having a wavelength of 600 to 700 nm when formed with a film thickness of 10 nm, and the light-transmitting substrate has a wavelength of 600 to 700 nm.
  • the mask blank is characterized in that it is made of a material that is 10% or more higher than the surface reflectance of the light.
  • FIG. 1 is a cross-sectional view of a mask blank according to the present invention.
  • a mask blank 10 according to the present invention includes a light shielding film 2 on a translucent substrate 1.
  • the translucent substrate 1 is not particularly limited as long as it is transparent to the ArF excimer laser.
  • a quartz substrate and other various glass substrates can be used, and among them, the quartz substrate is particularly suitable for the present invention because of its high transparency to an ArF excimer laser.
  • the thickness of the light shielding film needs to be less than 50 nm.
  • the back surface reflectance is desirably at least 50% or less. Considering these, it is difficult to provide a back-surface antireflection layer and to highly oxidize the light shielding layer. Even if a mixed material of a transition metal and silicon is used to form a light-shielding film in contact with a light-transmitting substrate, the back surface reflectance can be reduced to less than 50%.
  • the surface antireflection layer has a low optical density and hardly contributes to the optical density of the entire light shielding film. Considering these things, it is necessary to ensure the optical density required for the light shielding film 2 only by the light shielding layer. For this reason, the light shielding layer is basically made of a material composed of a transition metal and silicon, which are materials having a high optical density (total content of 90 atomic% or more), and other elements do not lower the optical density. It was allowed to be less than atomic%. In particular, oxygen and nitrogen are elements that act in the direction of greatly reducing the optical density of the material as the content increases, so the total content of these elements in the light-shielding layer needs to be less than 10 atomic%. Yes, and more preferably less than 5 atomic%.
  • the optical density of the light shielding film used for the binary mask blank needs to be at least 2.3 or more, preferably 2.5 or more.
  • the optical density of the light shielding film needs to be at least 2.8 or more, more preferably 3.0 or more.
  • the content of molybdenum in the light shielding layer containing molybdenum silicide is preferably 15 atomic percent or more and 40 atomic percent or less, and more preferably 19 atomic percent or more and 40 atomic percent or less.
  • a material containing molybdenum and silicon has a problem that when the content of molybdenum is high, chemical resistance and cleaning resistance (particularly alkali cleaning and hot water cleaning) decrease. It is preferable to set the content of molybdenum to 40 atomic% or less, which is the minimum content required for chemical resistance and cleaning resistance when used as a photomask. Further, as is apparent from FIG. 11, the light shielding performance reaches a predetermined value as the molybdenum content ratio increases.
  • Molybdenum silicide preferably has an upper limit of 40 atomic percent, which is a degree to which a stoichiometrically stable ratio of molybdenum silicide is given, and if molybdenum is contained in a ratio higher than that, chemical resistance and cleaning resistance are increased. Decreases.
  • the molybdenum content of the light shielding layer is in the range of 9 atomic% or more and 40 atomic% or less because the etching rate in the dry etching with a fluorine-based gas is relatively higher than the composition outside this range. .
  • transition metal contained in the light shielding layer material in addition to molybdenum, tantalum, tungsten, titanium, chromium, hafnium, nickel, vanadium, zirconium, ruthenium, rhodium and the like can be applied.
  • molybdenum was described in terms of the ratio between molybdenum and silicon, but the other transition metals listed have a similar tendency.
  • elements other than transition metals and silicon are contained in the light shielding layer in a range of less than 10 atomic%, the same tendency as the above-mentioned characteristics is obtained as in FIG.
  • the light-shielding film 2 has a total film thickness of less than 50 nm and has a predetermined optical density, and the light-shielding layer and the surface antireflection layer may be configured to satisfy the conditions.
  • the upper limit of the film thickness necessary for solving the problem of the shielding effect is less severe than the film thickness necessary for reducing the load of the EMF bias, so the total film thickness of the light shielding film 2 is less than 50 nm. Then both problems can be solved simultaneously.
  • the surface antireflection layer is basically a material that can be formed with the same target as the light shielding layer, although any material can be applied as long as a surface reflectance of a predetermined value or more can be obtained in a laminated structure with the light shielding layer. Is preferably used.
  • a material mainly composed of transition metal and silicon is applied to the light-shielding layer, a material mainly composed of transition metal (M) and silicon (Si) (MSiO, MSiN, MSiON, MSiOC, MSiCN, MSiOCN, etc.) are preferred.
  • MoSiO, MoSiN, MoSiON, MoSiOC, MoSiCN, MoSiOCN, etc. are preferable.
  • the surface antireflection layer uses a material having a high reflectance with respect to exposure light for the light shielding layer, a minimum layer thickness of 4 nm or more is required to make the surface reflectance less than 40%.
  • the thickness of the layer is required to be 5 nm or more in order to make it less than or equal to%.
  • the entire thickness of the light shielding film 2 needs to be less than 50 nm, and it is necessary to ensure the optical density in the light shielding layer, so the upper limit of the surface antireflection layer is 20 nm or less. There is a need. More desirably, the thickness of the surface antireflection layer is more preferably 7 nm or more and 17 nm or less.
  • the thickness of the light shielding layer is less than 40 nm because the thickness of the surface antireflection layer can be surely set to 10 nm or more even when the load reduction of the EMF bias is taken into consideration.
  • the light shielding layer preferably further includes at least one element of carbon and hydrogen.
  • the light shielding film 2 containing at least one of carbon (C) and hydrogen (H) in addition to the transition metal (M) and silicon (Si) is not easily oxidized in the film during sputtering film formation.
  • C carbon
  • H hydrogen
  • MC bonds transition metal carbides
  • Si—H bonds silicon hydrides
  • silicon and molybdenum by irradiation with ArF exposure light Therefore, the life of the transfer mask can be extended.
  • the etching rate increases due to the presence of C and / or H (silicon carbide, transition metal carbide, silicon hydride), resolution and pattern accuracy deteriorate without increasing the thickness of the resist film. There is no.
  • the etching time can be shortened, in the case of the structure having the etching mask film on the light shielding film, the damage of the etching mask film can be reduced, and high-definition patterning is possible.
  • the present invention also provides a method for manufacturing a transfer mask having an etching step of patterning the light-shielding film in the mask blank obtained by the present invention described above by etching.
  • etching in this case, dry etching effective for forming a fine pattern is preferably used.
  • a light shielding film using an optical etching end point detection method (a method of detecting an etching end point by confirming a change in reflectance of the light shielding film as etching progresses)
  • the detection sensitivity when detecting the etching end point is improved.
  • the irradiation light used in the optical etching end point detection method is red laser light having a wavelength of 600 nm to 700 nm.
  • the amount of change in surface reflectivity from the film thickness of 10 nm to the exposure of the translucent substrate is 10. %.
  • the light-shielding film of the present invention is a film in which the change amount of the surface reflectance from the film thickness of 10 nm to the exposure of the translucent substrate is 10% or more, and the optical etching end point can be sufficiently detected.
  • the light-shielding layer formed in contact with the light-transmitting substrate of the light-shielding film of the mask blank of the present invention is made of a material having a total content of transition metal and silicon of 90 atomic% or more.
  • Such materials are usually dry-etched with a fluorine-based gas.
  • a translucent substrate mainly composed of an oxide of silicon such as synthetic quartz is also easily etched by dry etching using a fluorine-based gas. For this reason, when forming a transfer pattern by dry etching the light shielding layer with a fluorine-based gas, the accuracy of detecting when the light shielding layer is etched and the surface of the translucent substrate begins to be exposed is increased.
  • the light-shielding layer of the mask blank of the present invention has a highly accurate etching by significantly increasing the reflectance with respect to light having a wavelength of 600 nm to 700 nm, which is mainly used for optical etching end point detection, as compared with a light-transmitting substrate. End point detection is feasible.
  • the power of the DC power source is 2.0 kW
  • reactive sputtering (DC sputtering) is used to generate MoSi.
  • FIG. 2 shows the manufacturing process.
  • a chemically amplified positive resist film 3 for electron beam lithography PRL009 manufactured by Fuji Film Electronics Materials
  • PRL009 manufactured by Fuji Film Electronics Materials
  • the light-shielding film 2 made of a laminate of a MoSi film and a MoSiON film was dry-etched to form a light-shielding film pattern 2a (see FIG. 4D).
  • a mixed gas of SF 6 and He was used as the dry etching gas.
  • the remaining resist pattern was peeled off to obtain a binary transfer mask 20 (see FIG. 5E).
  • the optical characteristics of the obtained binary transfer mask 20 were measured with a spectrophotometer U-4100 (manufactured by Hitachi High-Technologies Corporation). As a result, the optical density of the light shielding film 2 with respect to ArF exposure light was 3.1, which was a sufficient light shielding performance as a binary transfer mask. Further, the surface reflectance of the light shielding film 2 with respect to ArF exposure light was 25.1%, and the back surface reflectance was 38.9%.
  • Example 1-2 In Example (1-2), a mask blank 10 was manufactured in the same manner as in Example (1-1) except that the thickness of the light shielding layer was set to 30 nm, and a binary transfer mask 20 was manufactured. The optical characteristics of the obtained binary transfer mask 20 were measured in the same manner as in Example (1-1). As a result, the optical density of the light shielding film 2 with respect to ArF exposure light was 2.81, which was a light shielding performance usable as a binary transfer mask. Further, the surface reflectance of the light shielding film 2 with respect to ArF exposure light was 25.2%, and the back surface reflectance was 39.0%.
  • Example 1-3 In Example (1-3), except that the thickness of the light shielding layer was set to 26 nm, the mask blank 10 was manufactured in the same manner as in Example (1-1), and the binary transfer mask 20 was manufactured. The optical characteristics of the obtained binary transfer mask 20 were measured in the same manner as in Example (1-1). As a result, the optical density of the light shielding film 2 with respect to ArF exposure light was 2.5, which was a light shielding performance usable as a binary transfer mask. Moreover, the surface reflectance of the light-shielding film 2 with respect to ArF exposure light was 25.2%, and the back surface reflectance was 39.2%.
  • the thickness of the light-shielding layer is changed by optical simulation, and optical with respect to ArF exposure light.
  • the density (OD) and the back surface reflectance were determined, the results shown in FIG. 3 were obtained.
  • the dashed line in the graph of FIG. 3 shows the change in the optical density with the film thickness of the light shielding layer
  • the solid line shows the change in the back surface reflectance with the film thickness of the light shielding layer (hereinafter from FIG. 4). Same in each graph of FIG. 6). Even if the thickness of the light shielding layer is reduced (20 nm) until the optical density becomes 2.0, the back surface reflectance only rises to 40%, and this light shielding layer has sufficient back surface reflectance as a binary transfer mask. The structure was found to be sustainable.
  • Example (1-1) a mask 20 for binary transfer was produced using the mask blank 10 produced as described above.
  • the optical characteristics of the obtained binary transfer mask 20 were measured in the same manner as in Example (1-1).
  • the optical density of the light shielding film 2 with respect to ArF exposure light was 3.1, which was a sufficient light shielding performance as a binary transfer mask.
  • the surface reflectance of the light-shielding film 2 with respect to ArF exposure light was 22.7%, and the back surface reflectance was 40.3%.
  • Example 2-2 In Example (2-2), a mask blank 10 was manufactured in the same manner as Example (2-1) except that the thickness of the light shielding layer was 31 nm, and a binary transfer mask 20 was manufactured. The optical characteristics of the obtained binary transfer mask 20 were measured in the same manner as in Example (1-1). As a result, the optical density of the light shielding film 2 with respect to ArF exposure light was 2.8, which was a light shielding performance usable as a binary transfer mask. Moreover, the surface reflectance of the light shielding film 2 with respect to ArF exposure light was 22.8%, and the back surface reflectance was 40.4%.
  • Example 2-3 In Example (2-3), except that the thickness of the light shielding layer was 28 nm, a mask blank was produced in the same manner as in Example (2-1) to produce a binary transfer mask.
  • the optical characteristics of the obtained binary transfer mask 20 were measured in the same manner as in Example (1-1).
  • the optical density of the light shielding film 2 with respect to ArF exposure light was 2.5, which was a light shielding performance usable as a binary transfer mask.
  • the surface reflectance of the light-shielding film 2 with respect to ArF exposure light was 22.8%, and the back surface reflectance was 40.7%. Both the front and back surfaces did not affect pattern transfer.
  • the film thickness of the light shielding layer is changed by optical simulation, and the optical with respect to ArF exposure light.
  • the density (OD) and the back surface reflectance were determined, the results shown in FIG. 4 were obtained. Even if the film thickness of the light shielding layer is reduced (21 nm) until the optical density becomes 2.0, the back surface reflectance only increases to 41.8%, and this light shielding layer is a back surface reflection sufficient as a binary transfer mask. It was found that the structure can maintain the rate.
  • a translucent substrate 1 made of quartz glass On a translucent substrate 1 made of quartz glass, a single-wafer sputtering apparatus is used, a silicon (Si) target is used as a sputtering target, and an Si film (light-shielding layer) is formed to a thickness of 39 nm in an argon gas atmosphere.
  • Si silicon
  • Si film light-shielding layer
  • the mask 20 for binary transfer was produced using the mask blank 10 produced as mentioned above.
  • the obtained binary transfer mask 20 was measured for optical characteristics with a spectrophotometer U-4100 (manufactured by Hitachi High-Technologies Corporation).
  • the optical density of the light shielding film 2 with respect to ArF exposure light was 2.88, which was a light shielding performance usable as a binary transfer mask.
  • the back surface reflectance of the light-shielding film 2 with respect to ArF exposure light is 58.9%, and the back surface reflectance that becomes a serious problem when transferring a pattern to a wafer is used in an exposure apparatus that does not take measures against flare, ghost, and the like. Met.
  • the optical density (OD) and the back surface reflectance with respect to ArF exposure light were obtained by changing the film thickness of the light shielding layer by optical simulation.
  • the results shown in 5 were obtained. Even if the film thickness of the light shielding layer is changed in the optical density range of 2.0 to 3.1, the back surface reflectance is as high as 58%, and this light shielding layer can be used for flare, ghost, etc. It has been found that an exposure apparatus in which no countermeasure is taken has a structure that is difficult to apply as a binary transfer mask.
  • a Mo film (light shielding layer) is formed in a film thickness of 39 nm in a argon gas atmosphere using a molybdenum (Mo) target as a sputtering target using a single wafer sputtering apparatus.
  • the mask 20 for binary transfer was produced using the mask blank 10 produced as mentioned above.
  • the obtained binary transfer mask 20 was measured for optical characteristics with a spectrophotometer U-4100 (manufactured by Hitachi High-Technologies Corporation).
  • the optical density of the light shielding film 2 with respect to ArF exposure light was 2.7, which was a light shielding performance usable as a binary transfer mask.
  • the back surface reflectance of the light-shielding film 2 with respect to ArF exposure light is 56.1%, and the back surface reflectance, which is a serious problem when transferring a pattern to a wafer, is used in an exposure apparatus that does not take measures against flare, ghosts, and the like. Met.
  • the optical density (OD) and the back surface reflectance with respect to ArF exposure light were obtained by changing the film thickness of the light shielding layer by optical simulation.
  • the result shown in FIG. Even if the film thickness of the light shielding layer is changed within the optical density range of 2.0 to 3.1, the back surface reflectance is as high as around 55%, and this light shielding layer is used for flare, ghost, etc. It has been found that an exposure apparatus in which no countermeasure is taken has a structure that is difficult to apply as a binary transfer mask.
  • a reactive sputtering DC sputtering
  • a CrON film film composition ratio Cr: 21 atomic%, O: 79 atomic%, N: 79 atomic%, refractive index n: 1.45, extinction coefficient k: 1.92)
  • CrOCN film film composition ratio Cr: 1.6 atomic%, O: 38.8 atomic%, C: 18.8 atomic%, N: 41.1 atomic%, refractive index n: 2.03, extinction
  • a light-shielding film 2 total film thickness 57 nm) for ArF excimer laser (wavelength 193 nm) made of a laminate with a coefficient k: 1.21) was formed.
  • the light shielding film has an optical density of about 2.82 in an ArF excimer laser (wavelength: 193 nm), but since the film thickness is 50 nm or more, it is difficult to solve the problem particularly related to the EMF bias.
  • a MoSiON film surface antireflection layer
  • a MoSiN film film composition ratio Mo: 20 atomic%, Si: 76 atomic%, N: 4) %, Refractive index n: 1.50, extinction coefficient k: 3.06
  • MoSiON film film composition ratio Mo: 3 atomic%, Si: 57 atomic%, O: 16 atomic%, N: 24 atomic%)
  • Example (1-1) a mask 20 for binary transfer was produced using the mask blank 10 produced as described above.
  • the optical characteristics of the obtained binary transfer mask 20 were measured in the same manner as in Example (1-1).
  • the optical density of the light shielding film 2 with respect to ArF exposure light was 3.1, which was a sufficient light shielding performance as a binary transfer mask.
  • the surface reflectance of the light shielding film 2 with respect to ArF exposure light was 39.4%, and the reflectance had no influence on the pattern transfer.
  • the MoSi film film composition ratio Mo: 9.3 atomic%, Si: 90.7 atomic%, refractive index n: 1.24, extinction
  • MoSiON film film composition ratio Mo: 3 atomic%, Si: 57 atomic%, O: 16 atomic%, N: 24 atomic%, refractive index n: 2.28, extinction coefficient k: 0.92
  • a light shielding film 2 total film thickness 42 nm
  • ArF excimer laser wavelength 193 nm
  • Example (1-1) a mask 20 for binary transfer was produced using the mask blank 10 produced as described above.
  • the optical characteristics of the obtained binary transfer mask 20 were measured in the same manner as in Example (1-1).
  • the optical density of the light shielding film 2 with respect to ArF exposure light was 3.1, which was a sufficient light shielding performance as a binary transfer mask.
  • the surface reflectance of the light shielding film 2 with respect to ArF exposure light was 39.3%, and the reflectance did not affect the pattern transfer.
  • the light shielding film for each of the binary type mask blank of Example 1-1, Example 3 and Example 4, the halftone phase shift mask blank of Reference Example 1, and the binary type mask blank of Reference Example 2 The dry etching was performed using an etching gas suitable for each. Further, for each light shielding film, until the dry etching is completed (until the surface of the light-transmitting substrate is exposed and the dry etching is completed), the surface of the light-shielding film (contacts the light-transmitting substrate of the light-shielding film). The surface opposite to the side) was irradiated with red laser light used in optical etching end point detection, the reflected light reflected on the surface was measured, and the surface reflectance was calculated. The results are shown in FIG. 7 and FIG.
  • red laser light having a wavelength of 670 nm is used, but there is almost no difference in the reflection characteristics of the light shielding film to be irradiated between the wavelength range of 600 to 700 nm, which is the wavelength band of the red laser light. The same tendency is shown.
  • phase shift film (MoSiN film) of Reference Example 1 is a film that is difficult to detect the etching end point in the optical etching end point detection. Even if the measurement result is seen, the surface reflectance hardly changes, and it is difficult to detect the etching end point by this optical method.
  • the chromium-based light-shielding film of Reference Example 2 is a film that can sufficiently detect the etching end point by optical etching end point detection. Even if it sees a measurement result, if the surface reflectance changes 10% from 17% (film thickness 10nm) to 7% (the translucent board
  • the end point detection can sufficiently detect the etching end point.
  • the surface reflectance varies from 37% (film thickness 10 nm) to 7% (the translucent substrate is exposed) by 30%.
  • the surface reflectivity varies from 34% (film thickness 10 nm) to 7% (translucent substrate exposed) and 27%, both of which can detect the etching end point sufficiently by optical etching end point detection. It can be said that there is.
  • FIG. 9 and FIG. 10 show the results of calculating the range of the refractive index n and the extinction coefficient k of the light shielding film material capable of detecting the optical etching end point by optical simulation.
  • the boundary line (n ⁇ 0.12 k 3 +0.14 k 2 ⁇ 0... 1% reflection / nm (surface reflectance increase with respect to 10% red laser light by 10 nm etching of the light shielding film).
  • 35k + 3.44) to the region where the refractive index n and extinction coefficient k are high (including the boundary line) is the region where the optical etching end point can be detected.
  • the region where the refractive index n and the extinction coefficient k are high is a region more suitable for optical etching end point detection.
  • FIGS. 9 and 10 are not numerical values for ArF exposure light but numerical values for the wavelength band of red laser light.
  • FIG. 9 plots the light shielding films of Example 1-1, Reference Example 1 and Reference Example 2, and FIG. 10 plots the light shielding films of Example 3 and Example 4.
  • Example 1 Except Example 1, it is in the region where the optical etching end point can be detected, and this optical simulation result is proved to be valid.
  • Example 1-1, Example 3, and Example 4 are all in a region where the reflectance change with respect to the film thickness change above the boundary line of 3% reflection / nm is very high, these It can also be seen that the light shielding film can detect the optical etching end point with very high accuracy.

Abstract

【課題】シャドーイングの問題やEMF効果に係る問題を解決する遮光膜の薄膜化を可能とするマスクブランクを提供する。 【解決手段】ArF露光光が適用される転写用マスクを作成するために用いられ、透光性基板1上に遮光膜2を有するマスクブランク10であって、遮光膜2は、膜厚が50nm未満であり、透光性基板1の表面に接して形成され、遷移金属及びケイ素の合計含有量が90原子%以上の材料からなる遮光層と、該遮光層の上面に形成される表面反射防止層とを有する積層構造からなり、遮光層は、10nmの膜厚で形成したときにおける波長600~700nmの光に対する表面反射率が、透光性基板の波長600~700nmの光に対する表面反射率よりも10%以上高い材料で形成されている。

Description

マスクブランク及び転写用マスクの製造方法
 本発明は、遮光膜を薄膜化させたマスクブランク及び転写用マスクの製造方法に関する。特に、ArF露光光(波長193nm)を露光光源とする露光装置に好適に用いられる転写用マスクを製造するためのマスクブランク及び転写用マスクの製造方法に関する。
 一般に、半導体装置の製造工程では、フォトリソグラフィー法を用いて微細パターンの形成が行われている。また、この微細パターンの形成には通常何枚もの転写用マスク(フォトマスク)と呼ばれている基板が使用される。この転写用マスクは、一般に透光性のガラス基板上に、金属薄膜等からなる微細パターンを設けたものであり、この転写用マスクの製造においてもフォトリソグラフィー法が用いられている。
 フォトリソグラフィー法による転写用マスクの製造には、ガラス基板等の透光性基板上に転写パターン(マスクパターン)を形成するための薄膜(例えば遮光膜など)を有するマスクブランクが用いられる。このマスクブランクを用いたフォトマスクの製造は、マスクブランク上に形成されたレジスト膜に対し、所望のパターン描画を施す露光工程と、所望のパターン描画に従って前記レジスト膜を現像してレジストパターンを形成する現像工程と、レジストパターンに従って前記薄膜をエッチングするエッチング工程と、残存したレジストパターンを剥離除去する工程とを有して行われている。上記現像工程では、マスクブランク上に形成されたレジスト膜に対し所望のパターン描画を施した後に現像液を供給して、現像液に可溶なレジスト膜の部位を溶解し、レジストパターンを形成する。また、上記エッチング工程では、このレジストパターンをマスクとして、ドライエッチング又はウェットエッチングによって、レジストパターンの形成されていない薄膜が露出した部位を溶解し、これにより所望のマスクパターンを透光性基板上に形成する。こうして、転写用マスクが出来上がる。
 半導体装置のパターンを微細化するに当たっては、転写用マスクに形成されるマスクパターンの微細化に加え、フォトリソグラフィーで使用される露光光源波長の短波長化が必要となる。半導体装置製造の際の露光光源としては、近年ではKrFエキシマレーザー(波長248nm)から、ArFエキシマレーザー(波長193nm)へと短波長化が進んでいる。
 また、転写用マスクの種類としては、従来の透光性基板上にクロム系材料からなる遮光膜パターンを有するバイナリマスクのほかに、ハーフトーン型位相シフトマスクが知られており、モリブデンシリサイド化合物を含む材料等が用いられる。さらに、近年では、特許文献1に記載されているようなモリブデンシリサイド化合物を含む材料を遮光膜として用いたバイナリマスクなども出現している。
 転写用マスクやマスクブランクにおいては、転写用マスクに形成されるマスクパターンを微細化するに当たっては、マスクブランクにおけるレジスト膜の薄膜化と、転写用マスク製造の際のパターニング手法として、ドライエッチング加工が必要である。
 しかし、レジスト膜の薄膜化とドライエッチング加工は、以下に示す技術的な問題が生じている。
 一つは、マスクブランクのレジスト膜の薄膜化を進める際、例えば遮光膜の加工時間が1つの大きな制限事項となっていることである。例えばクロム遮光膜のドライエッチング加工では、エッチングガスに塩素ガスと酸素ガスの混合ガスが用いられている。レジストパターンをマスクにして遮光膜をドライエッチングでパターニングする際、レジストは有機膜でありその主成分は炭素であるので、ドライエッチング環境である酸素プラズマに対しては非常に弱い。遮光膜をドライエッチングでパターニングする間、その遮光膜上に形成されているレジストパターンは十分な膜厚で残っていなければならない。一つの指標として、マスクパターンの断面形状を良好にするために、ジャストエッチングタイムの2倍(100%オーバーエッチング)程度を行っても残存するようなレジスト膜厚にしなければならない。例えば、一般には、遮光膜の材料であるクロムと、レジスト膜とのエッチング選択比は1以下となっているので、レジスト膜の膜厚は、遮光膜の膜厚の2倍以上の膜厚が必要となることになる。従って、レジスト膜を薄膜化するためには、遮光膜の加工時間を短くする必要があるが、そのためには遮光膜の薄膜化が重要な課題である。
 他方、近年、半導体デバイスの設計仕様でいうDRAMハーフピッチ(hp)45nm~32nm世代の開発が進められている。これはArFエキシマレーザー露光光(以下、ArF露光光)の波長193nmの1/4~1/6に相当している。特にhp45nm以降の世代では従来の位相シフト法、斜入射照明法や瞳フィルター法などの超解像技術(Resolution Enhancement Technology:RET)と光近接効果補正(Optical Proximity Correction : OPC)技術の適用だけでは不十分となってきており、開口数がNA>1の超高NA技術(液浸露光)が必要となってきている。
 液浸露光は、ウェハと露光装置の最下レンズとの間を液体で満たすことで、屈折率が1の空気の場合に比べて、液体の屈折率倍にNAを高められるため、解像度を向上できる露光方法である。開口数(NA:Numerical Aperture)は、NA=n×sinθで表される。θは露光装置の最下レンズの最も外側に入る光線と光軸とがなす角度、nはウェハと露光装置の最下レンズとの間における媒質の屈折率である。
特開2006-78825号公報
 解像性を向上させるためには、超高NA化、変形照明(斜入射照明)などの技術が積極的に導入される。その際、ArF露光光の転写用マスクへの入射角度(基板の法線と入射光のなす角)が大きくなる(斜め入射にする)。しかし、この転写用マスクへの入射角度(基板の法線と入射光のなす角)を大きくしていくと、遮蔽効果(シャドーイング)という問題が発生し、解像度に悪影響を及ぼすものとなる。具体的には転写用マスクのパターン側壁に対して露光光が斜め入射されると、パターンの3次元的構造(特に高さ)から影ができる。この影によって、転写用マスク上のサイズが正確に転写されなくなり、また、光量が小さくなる(暗くなる)。
 このため、パターン側壁の高さを低くする必要がある、すなわち、遮光膜の薄膜化が必要となる。
 また、半導体デバイスの設計仕様でいうDRAMハーフピッチ(hp)32nm以降の世代のバイナリマスクにおいては、ArF露光光の波長193nmよりも転写用マスク上の転写パターンの線幅よりも小さく、またこれに対応するための超解像技術を採用していったことにより、転写パターン領域 (メインパターン領域)の遮光膜パターンの膜厚が厚いと、電磁界(EMF:Electro Magnetics Field)効果に起因するバイアスが大きくなるという問題が生じてきている。電磁界効果(EMF)バイアスは、ウェハ上のレジストへの転写パターン線幅のCD精度に大きな影響を与える。このため、電磁界効果のシミュレーションを行い、EMFバイアスによる影響を抑制するための転写用マスクに作製する転写パターンの補正を行う必要がある。この転写パターンの補正計算は、EMFバイアスが大きいほど複雑化する。また、補正後の転写パターンもEMFバイアスが大きいほど複雑化していき、転写マスク作製に大きな負荷がかかる。EMFに起因するバイアスが大きくなることで、これらの新たな課題が発生していた。
 そこで本発明は、従来の課題を解決するべくなされたものであり、その目的とするところは、シャドーイングの問題やEMF効果に係る問題を解決する遮光膜の薄膜化を可能とするマスクブランク及び転写用マスクの製造方法を提供することである。
 本発明者らは、上記電磁界(EMF)効果の課題に関し、鋭意検討を行った。その結果、バイナリマスクにおける遮光膜の膜厚が50nm未満であると、EMFバイアス低減に改善効果が認められることがシミュレーションで解った。すなわち、遮光膜の膜厚が50nm未満であると、EMFバイアスの影響を補正するための転写パターンの補正計算負荷が小さくなり、転写マスク作製の負荷も小さくなる。さらに、遮光膜の膜厚が45nm以下であると、EMFバイアスがかなり低減できることもシミュレーションによって判明した。また、遮蔽効果に係る問題についても、遮光膜の膜厚が50nm未満であれば、その影響を大幅に低減することができる。しかしながら、バイナリマスクブランクとして求められる光学濃度(例えば、2.8)を有する遮光膜を、膜厚が50nm未満で実現することは容易ではないことがわかった。通常、光学濃度が高い材料は、露光光に対する反射率が高い。遮光膜は、転写用マスク作製後において、転写パターンとして遮光膜が露出する表面の露光光に対する反射率が所定値以下(少なくとも40%未満)の低反射となるようにする必要がある。薄膜化を実現するには、遮光膜は遮光層と表面反射防止層の少なくとも2層構造にする必要がある。表面反射防止層は、表面反射を低減させるためにある程度の透過率を確保する必要があるため、光学濃度の面ではあまり寄与することはできない。このため、バイナリマスクブランクとして求められる光学濃度(例えば、2.8)を有する遮光膜を50nm未満の膜厚で実現することは容易ではない。
 一方、ウェハ上のレジストに転写パターンを転写する際、露光装置では、転写用マスクへのArF露光光の照射を透光性基板の転写パターンを形成していない面側(裏面側)から行う。すなわち、ArF露光光は、遮光膜の透光性基板に接している側から入射する。このため、遮光膜の透光性基板側における露光光の反射率(裏面反射率)が高いと、フレア、ゴースト等の現象が発生する恐れがあり、ウェハ上での転写パターンの結像に悪影響を与えることがあった。露光装置の内部機構によってこの悪影響を低減できるものもあるが、広く使用されている露光装置では対応できていないものもある。このため、裏面反射率は少なくとも50%以下となるように遮光膜を設計することが望ましい。裏面反射率を50%以下に低減させるために従来用いられている方法としては2つある。1つは、透光性基板と遮光層との間に、高酸化、高窒化、あるいは高酸窒化させた材料で裏面反射防止層を形成する方法であり、もう1つは、透光性基板に接して、ある程度以上酸化、窒化、あるいは酸窒化させた材料(少なくとも材料中の10原子%以上を酸素、窒素で占める。)で遮光層を形成する方法である。しかし、裏面反射防止層を形成する方法では、その膜厚が5nm以上は必要であり、遮光層は40nm未満でなければならない。裏面反射防止層も表面反射防止層と同じく光学濃度への寄与が小さいため、遮光膜全体での光学濃度の確保がより難しくなる。また、遮光層を酸化等させる方法では、酸化等することにより遮光層自体の光学濃度が低下するため、膜厚を厚くする必要が生じ、遮光膜全体での光学濃度の確保は難しくなる。
 また、バイナリマスクブランクを用いて上記のような非常に微細な転写パターンを有する転写用マスクを製造する場合においては、転写パターンが形成されたレジスト膜をマスクとした遮光膜のドライエッチングを行って、遮光膜に転写パターンを形成することが通常である。また、透光性基板へのダメージの抑制や、遮光膜に形成される転写パターン形状の精度向上には、エッチングの終点を精度よく検出することは重要である。エッチングの終点を検出する手法としては、近年では、エッチング進行に伴う遮光膜の反射率変化を確認することによってエッチング終点を検出する光学式エッチング終点検出法が使用されることが多い。このため、光学式エッチング終点検出法で、高いエッチング終点の検出精度が得られる遮光膜が望まれている。
 特に、前記の特許文献で開示されているモリブデンシリサイド化合物を含む材料等の遷移金属シリサイド系材料を遮光膜として用いたバイナリマスクの場合、フッ素系ガスで遮光膜をドライエッチングすることが通常である。ケイ素の酸化物が主成分の透光性基板は、フッ素系ガスによるドライエッチングに対してエッチングされやすく、転写用マスクの透光部の基板掘り込みを低減するには、遮光膜のエッチング終点検出の精度向上が重要である。
 本発明者は、種々の材料について検討した結果、遷移金属とケイ素とからなる材料であれば、透光性基板の表面に接して遮光層を形成しても、裏面反射率が50%以下とすることが可能であること、また10原子%未満であれば、遷移金属とケイ素とからなる材料に他の元素を含有しても、遮光層の光学濃度に影響を与えるほどの遮光性能の低下がみられないことを突き止めた。さらに、本発明者は、透光性基板の表面に接して形成される遮光層に遷移金属とケイ素とからなる材料であって、それら以外の元素の含有量が10原子%未満の材料を用いると、光学式エッチング終点検出法での遮光層のエッチング終点検出精度が大きく向上することを突き止めた。
 本発明者は、以上の解明事実、考察に基づき、さらに鋭意研究を続けた結果、本発明を完成したものである。
 すなわち、上記課題を解決するため、本発明は以下の構成を有する。
(構成1)
 ArFエキシマレーザー露光光が適用される転写用マスクを作成するために用いられ、透光性基板上に、転写パターンを形成するための遮光膜を有するマスクブランクであって、前記遮光膜は、膜厚が50nm未満であり、前記透光性基板の表面に接して形成され、遷移金属及びケイ素の合計含有量が90原子%以上の材料からなる遮光層と、該遮光層の上面に形成される表面反射防止層とを有する積層構造からなり、前記遮光層は、10nmの膜厚で形成したときにおける波長600~700nmの光に対する表面反射率が、前記透光性基板の波長600~700nmの光に対する表面反射率よりも10%以上高い材料で形成されていることを特徴とするマスクブランクである。
(構成2)
 前記遮光膜は、光学濃度が2.3以上であることを特徴とする構成1記載のマスクブランクである。
(構成3)
 前記遮光層中の遷移金属の含有量が9原子%以上、40原子%以下であることを特徴とする構成1または2に記載のマスクブランクである。
(構成4)
 前記遮光層中の遷移金属は、モリブデン(Mo)であることを特徴とする構成1乃至3のいずれか一項に記載のマスクブランクである。
(構成5)
 前記遮光層の膜厚当たりの光学濃度は、ΔOD=0.075/nm-1以上であることを特徴とする構成1乃至4のいずれか一項に記載のマスクブランクである。
(構成6)
 前記遮光層は、膜厚が40nm未満であることを特徴とする構成1乃至5のいずれか一項に記載のマスクブランクである。
(構成7)
 前記表面反射防止層は、遷移金属およびケイ素に、さらに酸素、窒素、炭素および水素のうち少なくとも1つの元素を含む材料からなることを特徴とする構成1乃至6のいずれか一項に記載のマスクブランクである。
(構成8)
 前記表面反射防止層中の遷移金属は、モリブデン(Mo)であることを特徴とする構成7に記載のマスクブランクである。
(構成9)
 前記表面反射防止層は、膜厚が4nm以上であることを特徴とする構成1乃至8のいずれか一項に記載のマスクブランクである。
(構成10)
 構成1乃至9のいずれか一項に記載のマスクブランクにおける前記遮光膜を、エッチングによりパターニングするエッチング工程を有することを特徴とする転写用マスクの製造方法である。
(構成11)
 前記エッチング工程において、光学式エッチング終点検出で用いられる波長600~700nmの光を遮光膜の表面に照射したときにおける遮光膜が10nmの厚さで残存しているときの表面反射率と、エッチングにより透光性基板が露出したときの表面反射率との差が10%以上であることを特徴とする構成10に記載の転写用マスクの製造方法である。
 本発明によれば、遷移金属及びケイ素の合計含有量が90原子%以上の遮光層を透光性基板の表面に接して形成し、前記遮光層は、10nmの膜厚で形成したときにおける波長600~700nmの光に対する表面反射率が、前記透光性基板の波長600~700nmの光に対する表面反射率よりも10%以上高い材料で形成することにより、バイナリマスクとして求められている遮光膜の光学濃度を50nm未満の膜厚で実現することができ、EMFバイアスに係る課題や、遮蔽効果に係る課題の解決を図ることができ、さらには光学式エッチング終点検出法での高精度のエッチング終点検出が可能となるマスクブランク及び転写用マスクを提供することができる。
本発明にかかるマスクブランクの断面図である。 本発明にかかるマスクブランクを用いて転写用マスクを製造する工程を示す断面図である。 実施例1にかかるマスクブランクの構造で、遮光層の膜厚を変化させたときの光学濃度と裏面反射率についての光学シミュレーション結果の図である。 実施例2にかかるマスクブランクの構造で、遮光層の膜厚を変化させたときの光学濃度と裏面反射率についての光学シミュレーション結果の図である。 比較例1にかかるマスクブランクの構造で、遮光層の膜厚を変化させたときの光学濃度と裏面反射率についての光学シミュレーション結果の図である。 比較例2にかかるマスクブランクの構造で、遮光層の膜厚を変化させたときの光学濃度と裏面反射率についての光学シミュレーション結果の図である。 実施例1-1、参考例1および参考例2にかかる遮光膜のエッチング進行に伴う反射率変化を示す図である。 実施例3および実施例4にかかる遮光膜のエッチング進行に伴う反射率変化を示す図である。 光学式エッチング終点検出が可能な遮光膜材料のn,kの範囲を示す図であり、実施例1-1、参考例1および参考例2の遮光膜材料をプロットした図である。 光学式エッチング終点検出が可能な遮光膜材料のn,kの範囲を示す図であり、実施例3および実施例4の遮光膜材料をプロットした図である。 モリブデンとシリコンとからなる薄膜におけるモリブデン含有量と単位膜厚当たりの光学濃度との関係を示す図である。
 以下、図面を参照して、本発明の実施の形態を詳述する。
 本発明は、ArF露光光が適用される転写用マスクを作成するために用いられ、透光性基板上に、転写パターンを形成するための遮光膜を有するマスクブランクであって、前記遮光膜は、膜厚が50nm未満であり、前記透光性基板の表面に接して形成され、遷移金属及びケイ素の合計含有量が90原子%以上の材料からなる遮光層と、該遮光層の上面に形成される表面反射防止層とを有する積層構造からなり、前記遮光層は、10nmの膜厚で形成したときにおける波長600~700nmの光に対する表面反射率が、前記透光性基板の波長600~700nmの光に対する表面反射率よりも10%以上高い材料で形成されていることを特徴とするマスクブランクである。
 図1は、本発明にかかるマスクブランクの断面図である。図1によれば、本発明に係るマスクブランク10は、透光性基板1の上に、遮光膜2を備えている。
上記透光性基板1は、ArFエキシマレーザーに対して透明性を有するものであれば特に制限されない。本発明では、石英基板、その他各種のガラス基板を用いることができるが、この中でも石英基板は、ArFエキシマレーザーに対する透明性が高いので、本発明には特に好適である。
 遮蔽効果の改善や、特にEMFバイアス改善には、遮光膜の膜厚を50nm未満とする必要がある。また転写用マスクとして十分機能するには、裏面反射率を少なくとも50%以下とすることが望ましい。これらを考慮すると、裏面反射防止層を設けることも、遮光層を高酸化等することも困難である。遷移金属とケイ素の混合材料は、これを用いて透光性基板に接して遮光膜を形成しても、裏面反射率を50%未満とすることができる特性を有している。
 表面反射防止層は、光学濃度が低く、遮光膜全体の光学濃度にはほとんど寄与できない。これらのことを考慮すると、遮光膜2として必要な光学濃度を遮光層だけで確保する必要がある。このため、遮光層を光学濃度の高い材料である遷移金属及びケイ素からなる材料を基本的に用い(合計含有量90原子%以上)、それ以外の元素は、光学濃度を下げない程度である10原子%未満で許容するようにした。特に、酸素、窒素については含有量が増えると、その材料の光学濃度を大きく下げる方向に作用する元素であるため、これらの元素における遮光層中の合計含有量が10原子%未満である必要があり、さらに好ましくは5原子%未満であるとよい。
 バイナリマスクブランクに用いる遮光膜の光学濃度としては、少なくとも2.3以上、好ましくは2.5以上が必要である。ただし、ダブル露光技術等で用いるバイナリ転写マスクを作製するためのバイナリマスクブランクの場合には、光学濃度が2.3や2.5では、ウェハ上のレジストの重ね露光部分に問題が生じる場合がある。その点を考慮すると、遮光膜の光学濃度は少なくとも2.8以上が必要であり、より好ましくは3.0以上である。
 本発明者は、図11に示す通り、モリブデンとケイ素との間の比率において、モリブデンが9原子%以上、40原子%以下含有する場合、特に膜厚当たりの光学濃度が大きく、ArF露光光に対する遮光性が相対的に大きい遮光層が得られることを見出した。
 モリブデンが9原子%以上であると、ΔOD=0.075nm-1(波長193.4nmにおける)以上にできる。モリブデンが15原子%以上であると、ΔOD=0.08nm-1(波長193.4nmにおける)以上にできるのでより好ましい。モリブデンが20原子%以上であると、ΔOD=0.082nm-1(波長193.4nmにおける)以上にできるのでさらに好ましい。
 モリブデンシリサイドを含む遮光層中のモリブデンの含有量は、15原子%以上、40原子%以下が好ましく、19原子%以上、40原子%以下がさらに好ましい。
 モリブデンとケイ素を含有する材料は、モリブデンの含有量が高いと、耐薬性や耐洗浄性(特に、アルカリ洗浄や温水洗浄)が低下するという問題がある。フォトマスクとして使用する際の必要最低限の耐薬性、耐洗浄性を確保できるモリブデンの含有量である40原子%以下とすることが好ましい。また、図11でも明らかなように遮光性能は、モリブデン含有比率を増やしていくと所定値で頭打ちとなる。モリブデンは、モリブデンシリサイドの化学量論的に安定な比率にある程度の幅を持たせた程度である40原子%までが上限として好ましく、それ以上の比率でモリブデンを含有させると耐薬性や耐洗浄性が低下する。
 また、遮光層のモリブデン含有量が9原子%以上、40原子%以下の範囲であると、この範囲外の組成に対して、相対的に、フッ素系ガスによるドライエッチングにおけるエッチング速度が大きいので好ましい。
 上記遮光層の材料に含まれる遷移金属には、モリブデン以外にも、タンタル、タングステン、チタン、クロム、ハフニウム、ニッケル、バナジウム、ジルコニウム、ルテニウム、ロジウム等が適用可能である。なお、モリブデンとケイ素との間の比率において、前記の例では、モリブデンについて述べたが、他の列記した遷移金属についてもほぼ同様の傾向を示す。また、10原子%未満の範囲で遮光層中に遷移金属とケイ素以外の元素が含まれても、図11等、前記の特性と概ね同じ傾向になる。
 一方、本発明のArF露光光が適用されるリソグラフィであって、DRAM hp32nm以降の世代で問題となるEMFバイアスの負荷を軽減するためには、少なくとも50nm未満の膜厚とする必要がある。この観点から考慮すると、遮光膜2は、合計膜厚50nm未満で、所定の光学濃度を確保することが望ましく、その条件を満たすように遮光層と表面反射防止層を構成するとよい。なお、遮蔽効果の問題を解決するために必要となる膜厚の上限は、EMFバイアスの負荷を軽減するために必要な膜厚よりも条件は緩いので、遮光膜2の合計膜厚が50nm未満とすれば、両方の問題を同時に解決できる。
 表面反射防止層は、遮光層との積層構造で所定値以上の表面反射率が得られるのであれば、基本的にいずれの材料でも適用可能ではあるが、遮光層と同じターゲットで成膜できる材料を用いることが好ましい。遮光層に遷移金属とケイ素を主成分とする材料を適用した場合には、表面反射防止層にも、遷移金属(M)とケイ素(Si)を主成分とする材料(MSiO,MSiN,MSiON,MSiOC,MSiCN,MSiOCN等)が好ましい。さらに遷移金属にモリブデン(Mo)を選択した場合においては、MoSiO,MoSiN,MoSiON,MoSiOC,MoSiCN,MoSiOCN等が好ましい。
 表面反射防止層は、遮光層に露光光に対する反射率の高い材料を用いていることから、表面反射率を40%未満にするには層の厚さが4nm以上は最低限必要であり、35%以下にするには層の厚さが5nm以上は最低限必要である。EMFバイアスの負荷軽減を考慮すると、遮光膜2全体の膜厚が50nm未満である必要があり、遮光層で光学濃度を確保する必要があることから、表面反射防止層の上限は20nm以下である必要がある。さらに望ましくは、表面反射防止層の厚さは、7nm以上、17nm以下であるとより望ましい。また、生産安定上や洗浄による膜減りと遮光膜2全体の薄膜化の両方のバランスを考慮すると10nm以上15nm以下が最適である。また、遮光層の厚さが40nm未満であると、EMFバイアスの負荷軽減を考慮しても、確実に表面反射防止層の厚さを10nm以上とすることができるので望ましい。
 また、遮光層は、炭素及び水素のうち少なくとも1つの元素をさらに含むことが好ましい。遷移金属(M)、ケイ素(Si)に加え、炭素(C)、水素(H)の少なくとも一方を含む遮光膜2は、スパッタ成膜時に膜中に、酸化しづらい状態になっている、ケイ素炭化物(Si-C結合)、遷移金属炭化物(M-C結合、例えばMo-C結合)、水素化ケイ素(Si-H結合)、が形成されることにより、ArF露光光の照射によるケイ素やモリブデンの酸化を抑制でき、転写用マスクの長寿命化が期待できる。
 また、C及び/又はH(ケイ素炭化物、遷移金属炭化物、水素化ケイ素)の存在によりエッチングレートは速くなるため、レジスト膜を厚膜化することなく、解像性や、パターン精度が悪化することはない。また、エッチング時間を短縮することができるので、遮光膜上にエッチングマスク膜を有する構成の場合、エッチングマスク膜のダメージを少なくすることができ、高精細のパターニングが可能となる。
 本発明は、上述の本発明により得られるマスクブランクにおける前記遮光膜を、エッチングによりパターニングするエッチング工程を有する転写用マスクの製造方法についても提供する。
 この場合のエッチングは、微細パターンの形成に有効なドライエッチングが好適に用いられる。
 本発明のマスクブランクを用いて転写用マスクを製造する場合、光学式エッチング終点検出法(エッチング進行に伴う遮光膜の反射率変化を確認することによりエッチング終点を検出する方法)を用いて遮光膜のエッチング終点を検出する際の検出感度が向上するという効果を奏する。
 従来、光学的エッチング終点検出法で用いる照射光は、波長600nm~700nmの赤色レーザー光である。赤色レーザー光による光学式エッチング終点検出でエッチング終点検出が十分に可能とされているクロム系遮光膜では、膜厚10nmから透光性基板が露出するまでの間の表面反射率の変化量が10%である。本発明の遮光膜は、膜厚10nmから透光性基板が露出するまでの間の表面反射率の変化量が10%以上であり、光学式エッチング終点検出が十分に可能な膜である。
 特に、本発明のマスクブランクの遮光膜の透光性基板に接して形成される遮光層は、遷移金属とケイ素の合計含有量が90原子%以上の材料で形成されている。このような材料はフッ素系ガスでドライエッチングされるのが通常である。合成石英等のケイ素の酸化物が主成分の透光性基板もフッ素系ガスによるドライエッチングに対してエッチングされやすい。このため、遮光層をフッ素系ガスによるドライエッチングを行って転写パターンを形成する際には、遮光層がエッチングされて透光性基板の表面が露出し始めたときを検出する精度を高くすることができる、すなわち高精度のエッチング終点検出が容易な遮光層を備えていることが特に重要となる。本発明のマスクブランクの遮光層は、光学式エッチング終点検出で主に用いられている波長600nm~700nmの光に対する反射率を透光性基板に比べて大幅に高くすることで、高精度のエッチング終点検出が実現可能となっている。
 以下、実施例により、本発明の実施の形態を更に具体的に説明する。併せて、実施例に対する比較例についても説明する。
(実施例1-1)
 石英ガラスからなる透光性基板1上に、枚葉式スパッタ装置を用いて、スパッタターゲットにモリブデン(Mo)とシリコン(Si)との混合ターゲット(原子%比 Mo:Si=21:79)を用い、アルゴンとヘリウムとの混合ガス雰囲気(ガス圧0.3Pa,ガス流量比 Ar:He=20:120)で、DC電源の電力を2.0kWとし、反応性スパッタリング(DCスパッタリング)により、MoSi膜(遮光層)を膜厚33nmで成膜し、引き続いて、Mo/Siターゲット(原子%比 Mo:Si=4:96)を用い、アルゴン(Ar)と酸素と窒素とヘリウムとの混合ガス雰囲気(ガス圧0.1Pa,ガス流量比 Ar:O:N:He=6:5:11:16)で、DC電源の電力を3.0kWとし、MoSiON膜(表面反射防止層)を膜厚10nmで成膜することにより、MoSi膜(膜組成比 Mo:21原子%,Si:79原子%,屈折率n:2.42,消衰係数k:2.89)とMoSiON膜(膜組成比 Mo:1.6原子%,Si:38.8原子%,O:18.8原子%,N:41.1原子%,屈折率n:2.36,消衰係数k:1.20)との積層からなるArFエキシマレーザー(波長193nm)用遮光膜2(総膜厚43nm)を形成した。なお、遮光膜2の各層の元素分析は、ラザフォード後方散乱分析法を用いた(以下、各実施例、比較例とも同じ)。
 次に、上記のようにして作製したマスクブランク10を用いてバイナリ転写用マスクを作製した。図2に製造工程を示す。
 まず、上記フォトマスクブランク10上に、電子線描画用化学増幅型ポジレジスト膜3(富士フィルムエレクトロニクスマテリアルズ社製 PRL009)を形成した(図2(a)参照)。
 次に上記レジスト膜3に対し、電子線描画装置を用いて所望のパターン描画を行った後(同図(b)参照)、所定の現像液で現像してレジストパターン3aを形成した(同図(c)参照)。
 次に、上記レジストパターン3aをマスクとして、MoSi膜とMoSiON膜との積層からなる遮光膜2のドライエッチングを行って遮光膜パターン2aを形成した(同図(d)参照)。ドライエッチングガスとして、SFとHeの混合ガスを用いた。残存するレジストパターンを剥離して、バイナリ転写用マスク20を得た(同図(e)参照)。
 得られたバイナリ転写用マスク20に対して、分光光度計U-4100(日立ハイテクノロジーズ社製)で光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は3.1であり、バイナリ転写用マスクとしては十分な遮光性能であった。また、ArF露光光に対する遮光膜2の表面反射率が25.1%、裏面反射率が38.9%であり、表裏ともパターン転写に影響のない反射率であった。
(実施例1-2)
 実施例(1-2)は、遮光層の膜厚を30nmとしたことを除き、実施例(1-1)と同様にマスクブランク10を製造し、バイナリ転写用マスク20を作製した。
 得られたバイナリ転写用マスク20に対して、実施例(1-1)と同様に光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は2.81であり、バイナリ転写用マスクとしては使用可能な遮光性能であった。また、ArF露光光に対する遮光膜2の表面反射率が25.2%、裏面反射率が39.0%であり、表裏ともパターン転写に影響のない反射率であった。
(実施例1-3)
 実施例(1-3)は、遮光層の膜厚を26nmとしたことを除き、実施例(1-1)と同様にマスクブランク10を製造し、バイナリ転写用マスク20を作製した。
 得られたバイナリ転写用マスク20に対して、実施例(1-1)と同様に光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は2.5であり、バイナリ転写用マスクとしては使用可能な遮光性能であった。また、ArF露光光に対する遮光膜2の表面反射率が25.2%、裏面反射率が39.2%であり、表裏ともパターン転写に影響のない反射率であった。
 また、実施例(1-1)~(1-3)で得られた屈折率n,消衰係数kを基に、光学シミュレーションにより、遮光層の膜厚を変化させて、ArF露光光に対する光学濃度(OD)および裏面反射率を求めたところ、図3に示す結果となった。図3のグラフ中の一点鎖線は、遮光層の膜厚にともなう光学濃度の変化を示し、実線は、遮光層の膜厚にともなう裏面反射率の変化を示すものである(以下、図4から図6の各グラフにおいて同じ。)。光学濃度を2.0になるまで遮光層の膜厚を薄く(20nm)しても、裏面反射率は40%までしか上がらず、この遮光層は、バイナリ転写用マスクとして十分な裏面反射率を維持できる構造であることが分かった。
(実施例2-1)
 石英ガラスからなる透光性基板1上に、枚葉式スパッタ装置を用いて、スパッタターゲットにモリブデン(Mo)とシリコン(Si)との混合ターゲット(原子%比 Mo:Si=21:79)を用い、アルゴンとメタンとヘリウムとの混合ガス雰囲気(ガス圧0.3Pa,ガス流量比 Ar:CH:He=10:1:50)で、DC電源の電力を2.0kWとし、反応性スパッタリング(DCスパッタリング)により、MoSiCH膜(遮光層)を膜厚35nmで成膜し、引き続いて、Mo/Siターゲット(原子%比 Mo:Si=4:96)を用い、アルゴン(Ar)と酸素と窒素とヘリウムとの混合ガス雰囲気(ガス圧0.1Pa,ガス流量比 Ar:O:N:He=6:5:11:16)で、DC電源の電力を3.0kWとし、MoSiON膜(表面反射防止層)を膜厚10nmで成膜することにより、MoSiCH膜(膜組成比 Mo:19.8原子%,Si:78.8原子%,C:2.0原子%,H:1.5原子%,屈折率n:1.99,消衰係数k:2.79)とMoSiON膜(膜組成比 Mo:1.6原子%,Si:38.8原子%,O:18.8原子%,N:41.1原子%,屈折率n:2.36,消衰係数k:1.20)との積層からなるArFエキシマレーザー(波長193nm)用遮光膜2(総膜厚45nm)を形成した。
 次に、実施例(1-1)と同様の製造工程で、上記のようにして作製したマスクブランク10を用いてバイナリ転写用マスク20を作製した。
 得られたバイナリ転写用マスク20に対して、実施例(1-1)と同様に光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は3.1であり、バイナリ転写用マスクとしては十分な遮光性能であった。また、ArF露光光に対する遮光膜2の表面反射率が22.7%、裏面反射率が40.3%であり、表裏ともパターン転写に影響のない反射率であった。
(実施例2-2)
 実施例(2-2)は、遮光層の膜厚を31nmとしたことを除き、実施例(2-1)と同様にマスクブランク10を製造し、バイナリ転写用マスク20を作製した。
 得られたバイナリ転写用マスク20に対して、実施例(1-1)と同様に光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は2.8であり、バイナリ転写用マスクとしては使用可能な遮光性能であった。また、ArF露光光に対する遮光膜2の表面反射率が22.8%、裏面反射率が40.4%であり、表裏ともパターン転写に影響のない反射率であった。
(実施例2-3)
 実施例(2-3)は、遮光層の膜厚を28nmとしたことを除き、実施例(2-1)と同様にマスクブランクを製造し、バイナリ転写用マスクを作製した。
 得られたバイナリ転写用マスク20に対して、実施例(1-1)と同様に光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は2.5であり、バイナリ転写用マスクとしては使用可能な遮光性能であった。また、ArF露光光に対する遮光膜2の表面反射率が22.8%、裏面反射率が40.7%であり、表裏ともパターン転写に影響のない反射率であった。
 また、実施例(2-1)~(2-3)で得られた屈折率n,消衰係数kを基に、光学シミュレーションにより、遮光層の膜厚を変化させて、ArF露光光に対する光学濃度(OD)および裏面反射率を求めたところ、図4に示す結果となった。光学濃度を2.0になるまで遮光層の膜厚を薄く(21nm)しても、裏面反射率は41.8%までしか上がらず、この遮光層は、バイナリ転写用マスクとして十分な裏面反射率を維持できる構造であることが分かった。
(比較例1)
 石英ガラスからなる透光性基板1上に、枚葉式スパッタ装置を用いて、スパッタターゲットにシリコン(Si)ターゲットを用い、アルゴンガス雰囲気で、Si膜(遮光層)を膜厚39nmで成膜し、引き続いて、Mo/Siターゲット(原子%比 Mo:Si=4:96)を用い、アルゴン(Ar)と酸素と窒素とヘリウムとの混合ガス雰囲気(ガス圧0.1Pa,ガス流量比 Ar:O:N:He=6:5:11:16)で、DC電源の電力を3.0kWとし、MoSiON膜(表面反射防止層)を膜厚10nmで成膜することにより、Si膜(屈折率n:0.98,消衰係数k:2.83)とMoSiON膜(膜組成比 Mo:1.6原子%,Si:38.8原子%,O:18.8原子%,N:41.1原子%,屈折率n:2.36,消衰係数k:1.20)との積層からなるArFエキシマレーザー(波長193nm)用遮光膜2(総膜厚49nm)を形成した。
 次に、上記のようにして作製したマスクブランク10を用いてバイナリ転写用マスク20を作製した。
 得られたバイナリ転写用マスク20に対して、分光光度計U-4100(日立ハイテクノロジーズ社製)で光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は2.88であり、バイナリ転写用マスクとしては使用可能な遮光性能であった。しかし、ArF露光光に対する遮光膜2の裏面反射率が58.9%であり、フレアやゴースト等への対策の取られていない露光装置では、ウェハへのパターン転写時に大きな問題となる裏面反射率であった。
 また、得られた屈折率n,消衰係数kを基に、光学シミュレーションにより、遮光層の膜厚を変化させて、ArF露光光に対する光学濃度(OD)および裏面反射率を求めたところ、図5に示す結果となった。光学濃度を2.0~3.1の範囲で遮光層の膜厚を変化させても、裏面反射率は58%台と非常に高い反射率であり、この遮光層は、フレアやゴースト等への対策の取られていない露光装置では、バイナリ転写用マスクとして適用困難な構造であることが分かった。
(比較例2)
 石英ガラスからなる透光性基板1上に、枚葉式スパッタ装置を用いて、スパッタターゲットにモリブデン(Mo)ターゲットを用い、アルゴンガス雰囲気で、Mo膜(遮光層)を膜厚39nmで成膜し、引き続いて、Mo/Siターゲット(原子%比 Mo:Si=4:96)を用い、アルゴン(Ar)と酸素と窒素とヘリウムとの混合ガス雰囲気(ガス圧0.1Pa,ガス流量比 Ar:O:N:He=6:5:11:16)で、DC電源の電力を3.0kWとし、MoSiON膜(表面反射防止層)を膜厚10nmで成膜することにより、Mo膜(屈折率n:0.79,消衰係数k:2.35)とMoSiON膜(膜組成比 Mo:1.6原子%,Si:38.8原子%,O:18.8原子%,N:41.1原子%,屈折率n:2.36,消衰係数k:1.20)との積層からなるArFエキシマレーザー(波長193nm)用遮光膜2(総膜厚49nm)を形成した。
 次に、上記のようにして作製したマスクブランク10を用いてバイナリ転写用マスク20を作製した。
 得られたバイナリ転写用マスク20に対して、分光光度計U-4100(日立ハイテクノロジーズ社製)で光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は2.7であり、バイナリ転写用マスクとしては使用可能な遮光性能であった。しかし、ArF露光光に対する遮光膜2の裏面反射率が56.1%であり、フレアやゴースト等への対策の取られていない露光装置では、ウェハへのパターン転写時に大きな問題となる裏面反射率であった。
 また、得られた屈折率n,消衰係数kを基に、光学シミュレーションにより、遮光層の膜厚を変化させて、ArF露光光に対する光学濃度(OD)および裏面反射率を求めたところ、図6に示す結果となった。光学濃度を2.0~3.1の範囲で遮光層の膜厚を変化させても、裏面反射率は55%前後と非常に高い反射率であり、この遮光層は、フレアやゴースト等への対策の取られていない露光装置では、バイナリ転写用マスクとして適用困難な構造であることが分かった。
(参考例1)
 石英ガラスからなる透光性基板上に、枚葉式スパッタ装置を用いて、スパッタターゲットにモリブデン(Mo)とシリコン(Si)との混合ターゲット(原子%比 Mo:Si=10:90)を用い、アルゴンと窒素とヘリウムとの混合ガス雰囲気(ガス圧0.3Pa,ガス流量比 Ar:N:He=5:49:46)で、DC電源の電力を2.0kWとし、反応性スパッタリング(DCスパッタリング)により、位相シフト膜としてMoSiN膜を膜厚69nmで成膜し、ハーフトーン型位相シフトマスクブランクを作成した。なお、この遮光膜は、ArFエキシマレーザー(波長193nm)において、光学濃度は1.2程度であり、バイナリ転写用マスクには適用できないものである。
(参考例2)
 石英ガラスからなる透光性基板1上に、枚葉式スパッタ装置を用いて、スパッタターゲットにクロム(Cr)ターゲットを用い、アルゴンと一酸化窒素とヘリウムとの混合ガス雰囲気(ガス圧0.1Pa,ガス流量比 Ar:NO:He=27:18:55)で、DC電源の電力を1.7kWとし、反応性スパッタリング(DCスパッタリング)により、CrON膜(遮光層)を膜厚47nmで成膜し、引き続いて、クロム(Cr)ターゲットを用い、アルゴン(Ar)と二酸化炭素と窒素とヘリウムとの混合ガス雰囲気(ガス圧0.2Pa,ガス流量比 Ar:CO:N:He=21:37:11:31)で、DC電源の電力を1.8kWとし、反応性スパッタリング(DCスパッタリング)により、CrOCN膜(表面反射防止層)を膜厚10nmで成膜することにより、CrON膜(膜組成比 Cr:21原子%,O:79原子%,N:79原子%,屈折率n:1.45,消衰係数k:1.92)とCrOCN膜(膜組成比 Cr:1.6原子%,O:38.8原子%,C:18.8原子%,N:41.1原子%,屈折率n:2.03,消衰係数k:1.21)との積層からなるArFエキシマレーザー(波長193nm)用遮光膜2(総膜厚57nm)を形成した。なお、この遮光膜は、ArFエキシマレーザー(波長193nm)において、光学濃度は2.82程度であるが、膜厚が50nm以上あるため、特にEMFバイアスに係る課題を解決することは困難である。
(実施例3)
 石英ガラスからなる透光性基板1上に、枚葉式スパッタ装置を用いて、スパッタターゲットにモリブデン(Mo)とシリコン(Si)との混合ターゲット(原子%比 Mo:Si=21:79)を用い、アルゴン(Ar)と窒素(N)との混合ガス雰囲気で反応性スパッタリング(DCスパッタリング)により、MoSiN膜(遮光層)を膜厚35nmで成膜し、引き続いて、Mo/Siターゲット(原子%比 Mo:Si=4:96)を用い、アルゴン(Ar)と酸素(O)と窒素(N)とヘリウム(He)との混合ガス雰囲気で反応性スパッタリング(DCスパッタリング)により、MoSiON膜(表面反射防止層)を膜厚4nmで成膜することにより、MoSiN膜(膜組成比 Mo:20原子%,Si:76原子%,N:4原子%,屈折率n:1.50,消衰係数k:3.06)とMoSiON膜(膜組成比 Mo:3原子%,Si:57原子%,O:16原子%,N:24原子%,屈折率n:2.28,消衰係数k:0.92)との積層からなるArFエキシマレーザー(波長193nm)用遮光膜2(総膜厚39nm)を形成した。なお、遮光膜2の各層の元素分析は、ラザフォード後方散乱分析法を用いた。
 次に、実施例(1-1)と同様の製造工程で、上記のようにして作製したマスクブランク10を用いてバイナリ転写用マスク20を作製した。
 得られたバイナリ転写用マスク20に対して、実施例(1-1)と同様に光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は3.1であり、バイナリ転写用マスクとしては十分な遮光性能であった。また、ArF露光光に対する遮光膜2の表面反射率が39.4%であり、パターン転写に影響のない反射率であった。
(実施例4)
 石英ガラスからなる透光性基板1上に、枚葉式スパッタ装置を用いて、スパッタターゲットにモリブデン(Mo)とシリコン(Si)との混合ターゲット(原子%比 Mo:Si=9.5:90.5)を用い、アルゴン(Ar)ガス雰囲気で反応性スパッタリング(DCスパッタリング)により、MoSi膜(遮光層)を膜厚38nmで成膜し、引き続いて、Mo/Siターゲット(原子%比 Mo:Si=4:96)を用い、アルゴン(Ar)と酸素(O)と窒素(N)とヘリウム(He)との混合ガス雰囲気で反応性スパッタリング(DCスパッタリング)により、MoSiON膜(表面反射防止層)を膜厚4nmで成膜することにより、MoSi膜(膜組成比 Mo:9.3原子%,Si:90.7原子%,屈折率n:1.24,消衰係数k:2.77)とMoSiON膜(膜組成比 Mo:3原子%,Si:57原子%,O:16原子%,N:24原子%,屈折率n:2.28,消衰係数k:0.92)との積層からなるArFエキシマレーザー(波長193nm)用遮光膜2(総膜厚42nm)を形成した。なお、遮光膜2の各層の元素分析は、ラザフォード後方散乱分析法を用いた。
 次に、実施例(1-1)と同様の製造工程で、上記のようにして作製したマスクブランク10を用いてバイナリ転写用マスク20を作製した。
 得られたバイナリ転写用マスク20に対して、実施例(1-1)と同様に光学特性の測定を行った。その結果、遮光膜2のArF露光光に対する光学濃度は3.1であり、バイナリ転写用マスクとしては十分な遮光性能であった。また、ArF露光光に対する遮光膜2の表面反射率が39.3%であり、パターン転写に影響のない反射率であった。
 実施例(1-1)、実施例3および実施例4のバイナリ型マスクブランク、参考例1のハーフトーン型位相シフトマスクブランク、ならびに参考例2のバイナリ型マスクブランクのそれぞれに対して、遮光膜のドライエッチングをそれぞれに適したエッチングガスを用いて行った。また、それぞれの遮光膜について、ドライエッチングが終わるまでの間(透光性基板の表面が露出してドライエッチングが完了するまでの間)、遮光膜の表面(遮光膜の透光性基板に接する側とは反対側の表面)に、光学式エッチング終点検出で用いられる赤色レーザー光を照射し、その表面を反射する反射光を測定し、表面反射率を算出した。その結果を、図7および図8に示す。なお、ここでは赤色レーザー光に波長670nmのものを用いたが、赤色レーザー光の波長帯である波長600~700nmの間においては、照射対象の遮光膜の反射特性にはほとんど差が生じず、同様の傾向を示す。
 図7および図8は、遮光膜の膜厚が10nmから0nm(透光性基板が露出)までドライエッチングの間の赤色レーザー光に対する表面反射率の変化を示している。反射率の変化が大きいほど、エッチング終点の検出が容易であることを示すものである。参考例1の位相シフト膜(MoSiN膜)は、光学式エッチング終点検出でのエッチング終点検出が難しいとされている膜である。測定結果を見ても、表面反射率がほとんど変化しておらず、この光学式でのエッチング終点の検出は難しい。
 参考例2のクロム系遮光膜は、光学式エッチング終点検出でエッチング終点検出が十分に可能とされている膜である。測定結果を見ても、表面反射率が17%(膜厚10nm)から7%(透光性基板が露出)と10%変化しており、これ以上の反射率変化が得られれば、光学式エッチング終点検出でエッチング終点の検出が十分に可能であるといえる。実施例1-1の遮光膜では、測定結果をみると、表面反射率が35%(膜厚10nm)から7%(透光性基板が露出)と28%も変化しており、光学式エッチング終点検出でエッチング終点の検出が十分に可能であるといえる。また、同様に、実施例3の遮光膜では、表面反射率が37%(膜厚10nm)から7%(透光性基板が露出)と30%も変化しており、実施例4の遮光膜では、表面反射率が34%(膜厚10nm)から7%(透光性基板が露出)と27%も変化しており、いずれも光学式エッチング終点検出でエッチング終点の検出が十分に可能であるといえる。
 図9および図10に、光学式エッチング終点検出が可能な遮光膜材料の屈折率n,消衰係数kの範囲を光学シミュレーションで算出した結果を示す。図9および図10において、1%反射/nm(遮光膜の10nmのエッチングで10%の赤色レーザー光に対する表面反射率増加)の境界線(n=-0.12k+0.14k-0.35k+3.44)から屈折率n,消衰係数kが高い領域(境界線上も含む)が、光学式エッチング終点検出が可能な領域である。また、2%反射/nm(遮光膜の10nmのエッチングで20%の赤色レーザー光に対する表面反射率増加)の境界線(n=-0.04k+0.05k-0.04k+4.02)から屈折率n,消衰係数kが高い領域(境界線上も含む)は、光学式エッチング終点検出により適した領域である。さらに、3%反射/nm(遮光膜の10nmのエッチングで30%の赤色レーザー光に対する表面反射率増加)の境界線(n=-0.03k+0.005k-0.08k+4.51)から屈折率n,消衰係数kが高い領域(境界線上も含む)は、光学式エッチング終点検出に最適な領域である。なお、この図9および図10での屈折率n,消衰係数kは、ArF露光光に対する数値ではなく、赤色レーザー光の波長帯に対する数値である。図9に、先の実施例1-1、参考例1、参考例2の各遮光膜をプロットし、図10に、実施例3、実施例4の各遮光膜をプロットしてみると、参考例1以外は、光学式エッチング終点検出可能な領域に入っており、この光学シミュレーションの結果が妥当であることを証明している。また、実施例1-1、実施例3および実施例4は、いずれも3%反射/nmの境界線よりも上の膜厚変化に対する反射率変化が非常に高い領域にあることから、これらの遮光膜は非常に高精度の光学式エッチング終点検出が可能であることもわかる。
1 透光性基板
2 遮光膜
3 レジスト膜
10 マスクブランク
20 転写用マスク

Claims (11)

  1.  ArFエキシマレーザー露光光が適用される転写用マスクを作成するために用いられ、透光性基板上に、転写パターンを形成するための遮光膜を有するマスクブランクであって、
     前記遮光膜は、膜厚が50nm未満であり、前記透光性基板の表面に接して形成され、遷移金属及びケイ素の合計含有量が90原子%以上の材料からなる遮光層と、該遮光層の上面に形成される表面反射防止層とを有する積層構造からなり、
     前記遮光層は、10nmの膜厚で形成したときにおける波長600~700nmの光に対する表面反射率が、前記透光性基板の波長600~700nmの光に対する表面反射率よりも10%以上高い材料で形成されていることを特徴とするマスクブランク。
  2.  前記遮光膜は、光学濃度が2.3以上であることを特徴とする請求項1記載のマスクブランク。
  3.  前記遮光層中の遷移金属の含有量が9原子%以上、40原子%以下であることを特徴とする請求項1または2に記載のマスクブランク。
  4.  前記遮光層中の遷移金属は、モリブデン(Mo)であることを特徴とする請求項1乃至3のいずれか一項に記載のマスクブランク。
  5.  前記遮光層の膜厚当たりの光学濃度は、ΔOD=0.075/nm-1以上であることを特徴とする請求項1乃至4のいずれか一項に記載のマスクブランク。
  6.  前記遮光層は、膜厚が40nm未満であることを特徴とする請求項1乃至5のいずれか一項に記載のマスクブランク。
  7.  前記表面反射防止層は、遷移金属およびケイ素に、さらに酸素、窒素、炭素および水素のうち少なくとも1つの元素を含む材料からなることを特徴とする請求項1乃至6のいずれか一項に記載のマスクブランク。
  8.  前記表面反射防止層中の遷移金属は、モリブデン(Mo)であることを特徴とする請求項7に記載のマスクブランク。
  9.  前記表面反射防止層は、膜厚が4nm以上であることを特徴とする請求項1乃至8のいずれか一項に記載のマスクブランク。
  10.  請求項1乃至9のいずれか一項に記載のマスクブランクにおける前記遮光膜を、エッチングによりパターニングするエッチング工程を有することを特徴とする転写用マスクの製造方法。
  11.  前記エッチング工程において、
     光学式エッチング終点検出で用いられる波長600~700nmの光を遮光膜の表面に照射したときにおける遮光膜が10nmの厚さで残存しているときの表面反射率と、エッチングにより透光性基板が露出したときの表面反射率との差が10%以上であることを特徴とする請求項10に記載の転写用マスクの製造方法。
     
PCT/JP2010/055340 2009-03-31 2010-03-26 マスクブランク及び転写用マスクの製造方法 WO2010113787A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011507148A JP5317310B2 (ja) 2009-03-31 2010-03-26 マスクブランク及び転写用マスクの製造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009086824 2009-03-31
JP2009-086824 2009-03-31

Publications (1)

Publication Number Publication Date
WO2010113787A1 true WO2010113787A1 (ja) 2010-10-07

Family

ID=42828078

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/055340 WO2010113787A1 (ja) 2009-03-31 2010-03-26 マスクブランク及び転写用マスクの製造方法

Country Status (3)

Country Link
JP (1) JP5317310B2 (ja)
TW (1) TWI440966B (ja)
WO (1) WO2010113787A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012078441A (ja) * 2010-09-30 2012-04-19 Hoya Corp マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
JP2012141583A (ja) * 2010-12-17 2012-07-26 Hoya Corp マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
JP2013065036A (ja) * 2012-12-05 2013-04-11 Hoya Corp 半導体デバイスの製造方法
JP2015007788A (ja) * 2014-08-06 2015-01-15 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
EP2975459A3 (en) * 2014-07-15 2016-06-29 Shin-Etsu Chemical Co., Ltd. Binary photomask blank, preparation thereof, and preparation of binary photomask
JP2017072848A (ja) * 2011-09-14 2017-04-13 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
JP2017134424A (ja) * 2015-09-30 2017-08-03 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
JP2017223972A (ja) * 2017-07-31 2017-12-21 信越化学工業株式会社 フォトマスクブランク

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1069055A (ja) * 1996-08-28 1998-03-10 Sharp Corp フォトマスクの製造方法
JP2006048033A (ja) * 2004-07-09 2006-02-16 Hoya Corp フォトマスクブランク及びフォトマスクの製造方法、並びに半導体装置の製造方法
JP2006078825A (ja) * 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法
JP2006078807A (ja) * 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランク及びフォトマスク
JP2006146152A (ja) * 2004-10-22 2006-06-08 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1069055A (ja) * 1996-08-28 1998-03-10 Sharp Corp フォトマスクの製造方法
JP2006048033A (ja) * 2004-07-09 2006-02-16 Hoya Corp フォトマスクブランク及びフォトマスクの製造方法、並びに半導体装置の製造方法
JP2006078825A (ja) * 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法
JP2006078807A (ja) * 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランク及びフォトマスク
JP2006146152A (ja) * 2004-10-22 2006-06-08 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012078441A (ja) * 2010-09-30 2012-04-19 Hoya Corp マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
JP2012141583A (ja) * 2010-12-17 2012-07-26 Hoya Corp マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
US9075320B2 (en) 2010-12-17 2015-07-07 Hoya Corporation Mask blank, transfer mask, method of manufacturing a transfer mask, and method of manufacturing a semiconductor device
JP2017072848A (ja) * 2011-09-14 2017-04-13 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
KR20190010686A (ko) * 2011-09-14 2019-01-30 호야 가부시키가이샤 마스크 블랭크, 전사용 마스크, 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법
KR102011239B1 (ko) * 2011-09-14 2019-08-14 호야 가부시키가이샤 마스크 블랭크, 전사용 마스크, 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법
JP2013065036A (ja) * 2012-12-05 2013-04-11 Hoya Corp 半導体デバイスの製造方法
EP2975459A3 (en) * 2014-07-15 2016-06-29 Shin-Etsu Chemical Co., Ltd. Binary photomask blank, preparation thereof, and preparation of binary photomask
US9651858B2 (en) 2014-07-15 2017-05-16 Shin-Etsu Chemical Co., Ltd. Binary photomask blank, preparation thereof, and preparation of binary photomask
JP2015007788A (ja) * 2014-08-06 2015-01-15 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
JP2017134424A (ja) * 2015-09-30 2017-08-03 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
JP2017223972A (ja) * 2017-07-31 2017-12-21 信越化学工業株式会社 フォトマスクブランク

Also Published As

Publication number Publication date
TWI440966B (zh) 2014-06-11
JP5317310B2 (ja) 2013-10-16
TW201107872A (en) 2011-03-01
JPWO2010113787A1 (ja) 2012-10-11

Similar Documents

Publication Publication Date Title
TWI810176B (zh) 反射型光罩基底、反射型光罩及其製造方法、與半導體裝置之製造方法
TWI481949B (zh) 光罩基底、光罩及此等之製造方法
JP5602930B2 (ja) マスクブランクおよび転写用マスク
TWI461830B (zh) 相位偏移光罩母模、相位偏移光罩及相位偏移光罩母模之製造方法
JP5374599B2 (ja) フォトマスクブランク及びフォトマスクの製造方法、並びに半導体装置の製造方法
JP5317310B2 (ja) マスクブランク及び転写用マスクの製造方法
TWI764948B (zh) 反射型光罩基底、反射型光罩之製造方法及半導體裝置之製造方法
US8021806B2 (en) Photomask blank, photomask, and methods of manufacturing the same
JP5666218B2 (ja) マスクブランク、転写用マスク、および転写用マスクセット
JP2009265620A (ja) 位相シフトマスクブランク及び位相シフトマスクの製造方法
JP2019144587A (ja) マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
TW201831987A (zh) 反射型光罩基底、反射型光罩及其製造方法、與半導體裝置之製造方法
JPWO2019167622A1 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
WO2020184473A1 (ja) 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
JP6058318B2 (ja) マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
WO2019230312A1 (ja) マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
JP2019207361A (ja) マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
JP7179543B2 (ja) マスクブランク、転写用マスクおよび半導体デバイスの製造方法
WO2021059890A1 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
TW202217433A (zh) 光罩基底、相位偏移光罩、相位偏移光罩之製造方法及半導體裝置之製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10758559

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2011507148

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10758559

Country of ref document: EP

Kind code of ref document: A1