WO2008108754A1 - Technique pour un dépôt de couche atomique - Google Patents

Technique pour un dépôt de couche atomique Download PDF

Info

Publication number
WO2008108754A1
WO2008108754A1 PCT/US2007/005596 US2007005596W WO2008108754A1 WO 2008108754 A1 WO2008108754 A1 WO 2008108754A1 US 2007005596 W US2007005596 W US 2007005596W WO 2008108754 A1 WO2008108754 A1 WO 2008108754A1
Authority
WO
WIPO (PCT)
Prior art keywords
species
atoms
silicon
substrate
supply
Prior art date
Application number
PCT/US2007/005596
Other languages
English (en)
Inventor
Vikram Singh
Harold M. Persing
Edmund J. Winder
Jeffrey A. Hopwood
Anthony Renau
Original Assignee
Varian Semiconductor Equipment Associates, Inc.
Northeastern University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates, Inc., Northeastern University filed Critical Varian Semiconductor Equipment Associates, Inc.
Priority to CN200780052552A priority Critical patent/CN101680087A/zh
Priority to PCT/US2007/005596 priority patent/WO2008108754A1/fr
Priority to KR1020097020540A priority patent/KR20100019414A/ko
Priority to JP2009552644A priority patent/JP2010520638A/ja
Publication of WO2008108754A1 publication Critical patent/WO2008108754A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions

Definitions

  • the present disclosure relates generally to semiconductor manufacturing and, more particularly, to a technique for atomic layer deposition.
  • ALD atomic layer epitaxy
  • ALE atomic layer epitaxy
  • ALD technology is capable of depositing uniform and conformal films with atomic layer accuracy.
  • a typical ALD process uses sequential self-limiting surface reactions to achieve control of film growth - in the monolayer thickness regime. Due to its excellent potential for film conformity and uniformity, ALD has become the technology of choice for advanced applications such as high dielectric constant (high- k) gate oxide, storage capacitor dielectrics, and copper diffusion barriers in microelectronic devices.
  • high- k high dielectric constant
  • storage capacitor dielectrics storage capacitor dielectrics
  • copper diffusion barriers in microelectronic devices.
  • ALD technology may be useful for any advanced application that benefits from precise control of thin film structure on the nanometer (ntn) or sub-nanometer scale.
  • a deposition technique known as "molecular beam epitaxy” uses shutter-controlled individual effusion cells to direct atoms of different species towards a substrate surface, on which these atoms react with each other to form a desired monolayer.
  • the effusion cells have to be heated to considerably high temperatures for thermionic emission of the ingredient atoms.
  • extremely high vacuum has to be maintained to ensure no collision among the ingredient atoms before they reach the substrate surface.
  • MBE film growth rates are quite low for mass production purposes.
  • ALE temperature-modulated atomic layer epitaxy
  • a monolayer of silane (SiH 4 ) is deposited on a substrate surface at a relatively low temperature between 180 0 C and 400 0 C.
  • the substrate temperature is ramped to approximately 550 0 C to desorb the hydrogen atoms, leaving behind a monolayer of silicon.
  • this technique does achieve a controlled layer-by-layer film growth, the requirement for repeated temperature spikes makes it difficult to maintain uniformity across large wafers and repeatability from layer to layer. Additionally, heating the substrate to high temperatures can damage or destroy delicate structures formed on the substrate in previous processing steps.
  • One existing ALD technique employs ion bombardment to desorb excess hydrogen atoms.
  • a disilane (Si 2 H 6 ) gas may be used to form a disilane monolayer on a substrate surface.
  • the substrate surface is then bombarded with helium or argon ions to desorb excess hydrogen atoms from the disilane monolayer to form a silicon monolayer.
  • overly energetic ion bombardments ⁇ 50 eV ion energy
  • the film growth rate is fairly low (less than 0.15 monolayer per cycle) , and energetic ion fluxes are essentially line-of-sight processes which therefore can compromise atomic layer deposition' s potential for a highly conformal deposition.
  • the energetic ion can also cause crystalline defects which may necessitate post-deposition annealing.
  • a technique for atomic layer deposition is disclosed.
  • the technique may be realized by an apparatus for atomic layer deposition.
  • the apparatus may comprise a process chamber having a substrate platform to hold at least one substrate.
  • the apparatus may also comprise a supply of a precursor substance, wherein the precursor substance comprises atoms of at least one first species and atoms of at least one second species, and wherein the supply provides the precursor substance to saturate a surface of the at least one substrate.
  • the apparatus may further comprise a plasma source of metastable atoms of at least one third species, wherein the metabstable atoms are capable of desorbing the atoms of the at least one second species from the saturated surface of the at least one substrate to form one or more atomic layers of the at least one first species .
  • the technique may be realized as a method for atomic layer deposition.
  • the method may comprise saturating a substrate surface with a precursor substance having atoms of at least one first species and atoms of at least one second species, • thereby forming a monolayer of the precursor substance on the substrate surface.
  • the method may also comprise exposing the substrate surface to' plasma-generated metastable atoms of a third species, wherein the metastable atoms desorb ' the atoms of the at least one second species from the substrate surface to form an atomic layer of the at least one first species.
  • An atomic layer deposition method may comprise multiple deposition cycles to form a plurality of atomic layers of the first species, wherein each deposition cycle repeats the steps as recited above to form one atomic layer of the first species.
  • the technique may be realized by an apparatus for atomic layer deposition.
  • the apparatus may comprise a process chamber having a substrate platform to hold at least one substrate.
  • the apparatus may also comprise a supply of disilane (Si 2 H 6 ) , wherein the supply is adapted to supply a sufficient amount of disilane to saturate a surface of the at least one substrate, a supply of helium.
  • the apparatus may further comprise a plasma chamber coupled to the process chamber, the plasma chamber being adapted to generate helium metastable atoms from helium supplied by the supply of helium.
  • the metabstable atoms may be capable of desorbing hydrogen atoms from the saturated surface of the at least one substrate, thereby forming one or more atomic layers of silicon.
  • the technique may be realized as a method of conformal doping.
  • the method may comprise forming a thin film on a substrate surface in one or more deposition cycles, wherein, in each of the one or more deposition cycles, a precursor substance having atoms of at least one first species and atoms of at least one second species is supplied to saturate the substrate surface, and then the atoms of the at least one second species are desorbed from the saturated substrate surface to form one or more atomic layers of the at least one first species.
  • the method may also comprise substituting, in one or more of the multiple deposition cycles, at least a portion of the supply of the precursor substance with a dopant precursor, thereby doping the one or more atomic layers of the at least one first species.
  • Figure 1 shows a block diagram illustrating an exemplary atomic layer deposition cycle in accordance with an embodiment of the present disclosure.
  • Figure 2 shows a block diagram illustrating an exemplary atomic layer deposition cycle in accordance with an embodiment of the present disclosure.
  • Figure 3 shows a block diagram illustrating an exemplary system for atomic layer deposition in accordance with an embodiment of the present disclosure.
  • Figure 4 shows a flow chart illustrating an exemplary method for atomic layer deposition in accordance with an embodiment of the present disclosure.
  • Metastable atoms may be used to desorb excess atoms.
  • the metastable atoms may be generated, for example, in a plasma chamber.
  • the following description will focus on a method and apparatus for depositing doped or undoped silicon using helium metastable atoms. It should be appreciated that, with a same or similar technique, thin films of other species may also be grown using helium or other metastable atoms.
  • the exemplary atomic layer deposition cycle 100 may comprise two phases, a saturation phase 10 and a desorption phase 12.
  • a substrate - 102 may be exposed to a disilane (Si 2 H 6 ) gas.
  • the substrate surface may comprise, for example, silicon, silicon-on-insulator (SOI), and/or silicon dioxide.
  • the disilane gas serves as a silicon precursor, and is supplied in a sufficiently high dose to saturate the substrate surface forming a disilane monolayer 104 thereon.
  • use of the word "saturate” does not preclude the scenario where a substrate surface is only partially covered by a substance used to "saturate” such surface.
  • the substrate 102 as well as the process environment may be kept at a carefully selected temperature to prevent the precursor gas from condensing or decomposing on the substrate surface. In this embodiment, the substrate 102 is heated to and maintained at a temperature between 180 0 C and 400 0 C, although it is within the scope of the present disclosure to heat and maintain the substrate 102 within other temperature ranges .
  • the substrate 102 may be exposed to metastable atoms with sufficient energy to desorb the excess atoms from the precursor monolayer.
  • helium metastable atoms may be used to desorb excess hydrogen atoms, either partially or completely, from the disilane monolayer 104 formed in the saturation phase 10.
  • the helium metastable atoms may be created, for example, from a helium gas in an inductively coupled plasma.
  • Each helium metastable atom may have an internal energy of approximately 20 eV, which can be used to break the bond between a silicon atom and a hydrogen atom.
  • the metastable and other excited states of an inert gas tend to emit photons that may also indirectly drive the desorption reactions at the substrate surface.
  • an inert gas helium, argon, etc.
  • the surface of the silicon monolayer 106 may be a mixture of dangling bonds and hydrogen-terminated silicon atoms .
  • the substrate surface may be purged with one or more inert gases (e.g., helium or argon) to remove the excess reaction gases as well as by-products (e.g., hydrogen) .
  • a complete cycle through the saturation phase 10 and the desorption phase 12, including the "purge” steps between the two phases, may be referred to as one "deposition cycle.”
  • the deposition cycle 100 may be repeated to form a thin film of pure silicon (e.g., crystalline, polycrystalline, amorphous type, etc.), one monolayer (or fractional monolayer) at a time.
  • metastable atoms rather than ions to desorb excess atoms from a substrate surface saturated with a precursor substance.
  • the metastable atoms are generated in a plasma for desorption purposes, it may be desirable to prevent charged particles (e.g., electrons and ions) generated in the plasma from reaching the substrate surface, such that anisotropic film / properties due to these charged particles may be reduced or minimized.
  • a number of measures may be taken to prevent charged particles from affecting the ALD film formed on the substrate surface.
  • one or more devices e.g., a baffle or screen
  • These devices may further be biased filter out unwanted charged particles.
  • an electromagnetic field may be set up to deflect charge particles.
  • the orientation of the substrate surface may be adjusted to minimize the incident influx of charged particles.
  • the substrate . platform may be inverted or otherwise turned away from the line of sight of the plasma source.
  • the plasma source may be positioned at a distance from the substrate so as to cause a significant portion of the charged particles to fail to reach the substrate surface due to scattering or collisions .
  • FIG. 2 there is shown a block diagram illustrating an exemplary atomic layer deposition cycle 200 in accordance with another embodiment of the present disclosure.
  • the ALD process as illustrated in Figure 1 above may be utilized not only to deposit a single-species thin film, but also to introduce impurities into the thin film or to form a multi-species and/or alternate-layered film, all in a well controlled manner.
  • a doped silicon film may also be grown based on a slightly modified ALD process.
  • one or more deposition cycles 100 may be replaced with one or more deposition cycles 200.
  • a dopant precursor gas may be provided in place of or concurrently with the silicon precursor gas .
  • the dopant precursor is diborane (B 2 H 6 ) which may adsorb (or "chemisorb") to the surface of the substrate 102 to form a diborane monolayer 204.
  • the underlying surface in this case, may comprise a silicon monolayer deposited in a previous deposition cycle 100.
  • the diborane monolayer 204 may partially or completely cover the* underlying surface.
  • the substrate 102 may be exposed to helium metastable atoms as described above .
  • the helium metastable atoms may desorb excess hydrogen atoms from the diborane monolayer 204, leaving behind a partial or complete boron monolayer 206.
  • a desired boron dopant density profile in the silicon film may be achieved. Since this in situ doping technique relies on conformal deposition of dopant" atoms rather than ion implantation, it may achieve a uniform dopant distribution over the complex surface of a 3-D structure such as a FinFET. Further, there is no need for a post-deposition high- temperature diffusion process as required for ion implanted dopant atoms.
  • embodiments of the present disclosure may be implemented at temperatures below 500 0 C, which is well within the ' semiconductor industry's "thermal budget . "
  • the atomic layer deposition in accordance with embodiments of the present disclosure may be a selective process depending on the substrate surface composition.
  • the process illustrated in Figure 1 may deposit silicon monolayers on a silicon or SOI surface but not on a silicon dioxide (SiO 2 ) surface.
  • silicon dioxide may be used as a masking layer to shield selected portions of the substrate surface.
  • helium metastable atoms are used in the above examples, atoms of other species may also be chosen for the desorption process. Choice of these species may be based on the lifetime and energy of their metastable or excited states. Table 1 provides a list of candidate species whose metastable atoms may be used in the desorption phase of an ALD process .
  • Suitable dopant precursors for introducing dopant atoms such as boron (B), arsenic (As), phosphorus (P), indium (In), and antimony (Sb) may include but are not limited to the following classes of compounds: halides (e.g., BF 3 ), alkoxides (e.g., B(OCH 3 ) 3 ), alkyls (e.g., In(CH 3 J 3 ), hydrides (e.g., AsH 3 , PH 3 ), cyclopentadienyls , alkylimides, alkylamides (e.g., P [N(CH 3 ) 2 ] 3 ) , and amidinates.
  • halides e.g., BF 3
  • alkoxides e.g., B(OCH 3 ) 3
  • alkyls e.g., In(CH 3 J 3
  • hydrides e.g., AsH 3 ,
  • the in situ doping technique in which dopant- containing monolayers are deposited through an ALD-like process, is not limited to plasma-enhanced ALD processes. Nor does this in situ doping technique require the use of metastable atoms.
  • a thermal ALD process may also be adapted to form the dopant-containing monolayers.
  • this in situ doping concept is applicable to any ALD process wherein one or more deposition cycles that deposit the monolayers of the thin film to be doped may be replaced with one or more deposition cycles that deposit the dopant- containing monolayers, or wherein the thin film to be doped may be deposited in substantially the same time as the dopant- containing monolayers .
  • Figure 3 shows a block diagram illustrating an exemplary system 300 for atomic layer deposition in accordance with an embodiment of the present disclosure.
  • the system 300 may comprise a process chamber 302, which is typically capable of a high vacuum base pressure (e.g., 10 "7 - 10 '6 torr) with, for example, a turbo pump 306, a mechanical pump 308, and other necessary vacuum sealing components.
  • a substrate platform 310 that holds at least one substrate 30.
  • the substrate platform 310 may be equipped with one or more temperature management devices to adjust and maintain the temperature of the substrate 30. Tilting or rotation of the substrate platform 30 may also be accommodated.
  • the process chamber 302 may be further equipped with one or more film growth monitoring devices, such as a quartz crystal microbalance and/or a RHEBD (reflection high energy electron diffraction) instrument.
  • the system 300 may also comprise a plasma chamber 304 which may be either coupled to or part of the process chamber 302.
  • a radio frequency (RF) power supply 312 may be used to generate an inductively coupled plasma 32 inside the plasma chamber 304.
  • RF radio frequency
  • a helium gas supplied with a proper pressure may be excited by the RF power to generate a helium plasma which in turn generates helium metastable atoms.
  • the system 300 may further comprise a number of gas supplies, such as a disilane supply 314, a diborane supply 316, an argon supply 318, and a helium supply 320.
  • Each gas supply may comprise a flow-control valve to set individual flow rates as desired.
  • the gas may be metered into the system by a series connection of, for example, a valve, a small chamber of fixed volume, and a second valve. The small chamber is first filled to the desired pressure by opening the first valve. After the first valve is closed, the fixed volume of gas is released into the chamber by opening the second valve.
  • the disilane supply 314 and the diborane supply 316 may be coupled to the process chamber 302 through a first inlet 322, and may supply a sufficient amount of the respective silicon and boron precursor gases to saturate the substrate 30.
  • the argon supply 318 and the helium supply 320 may be coupled to the plasma chamber 304 through a second inlet 324.
  • the argon supply 318 may provide argon (or other inert gases) to purge the system 300.
  • the helium supply 320 may supply a helium gas for plasma generation of helium metastable atoms.
  • the screen or baffle device 326 either biased or unbiased, may serve to prevent at least a portion of charged particles generated in the plasma chamber 304 from reaching the substrate 30.
  • Figure 4 shows a flow chart illustrating an exemplary method for r atomic layer deposition in accordance with an embodiment of the present disclosure.
  • a deposition system such as the one shown in Figure 3 may be pumped down to a high-vacuum (HV) state.
  • the vacuum condition may be achieved with any vacuum technology whether now known or later developed.
  • the vacuum . equipment may include, for example, one or more of a mechanical pump, a turbo pump, and a cryo pump.
  • the vacuum level is preferably at least 10" 7 - 10" 6 torr, although it is within the scope of the present disclosure to maintain the vacuum level at other pressures. For example, if a higher film purity is desired, an even higher base vacuum may be needed. For a low-purity film, a lower vacuum may be acceptable.
  • a substrate may be preheated to a desired temperature.
  • the substrate temperature may be determined based on substrate type, ALD reaction species, desired growth rate, etc.
  • a silicon precursor gas such as disilane (and its carrier gas, if any) may be flowed into a process chamber where the substrate sits.
  • the silicon precursor gas may be supplied at a flow rate or pressure- sufficient to saturate the substrate surface.
  • the flow of disilane may last, for example, for a few seconds or up to a few tens of seconds.
  • a monolayer of disilane may partially or completely cover the substrate surface .
  • the silicon precursor may be turned off and the deposition system may be purged with one or more inert gases to .remove the excess silicon precursor.
  • a helium plasma may be turned on. That is, a helium gas may be flowed from a plasma chamber to the process chamber.
  • the helium plasma may be an inductively coupled plasma (ICP) or any of a number of other plasma types that provide enough excitation to the helium atoms to create helium metastable atoms.
  • the substrate in the process chamber may be exposed to the helium metastable atoms so that they may react with the adsorbed silicon precursor thereon to desorb the non-silicon atoms.
  • the helium metastable atoms may help remove the excess hydrogen atoms to form a desired silicon monolayer. Exposure of the substrate surface to the metastable atoms may last, for example, for a few seconds or up to a few tens of seconds.
  • step 412 the helium plasma may be turned off and the deposition system may be again purged with one or more inert gases .
  • step 414 it may be determined whether any doping of the silicon film is desired. If doping is desired and it is an appropriate time to introduce dopants, the process may branch to step 416. Otherwise, the process may loop back to step 406 to start depositing a next monolayer of silicon and/or finish depositing a partial monolayer of silicon.
  • a dopant precursor gas such as diborane (and its carrier gas, if any) may be flowed into the process chamber. The dopant precursor gas may be supplied at a flow rate or pressure sufficient to saturate the substrate surface. The flow of diborane may last, for example, for a few seconds or up to a few tens of seconds . A monolayer of diborane may partially or completely cover the substrate surface.
  • the dopant precursor may be turned off and the deposition system may be purged with one or more inert gases to remove the excess dopant precursor.
  • the helium plasma may be turned on to generate helium metastable atoms.
  • the substrate in the process chamber may again be exposed to the helium metastable atoms so that they may react with the adsorbed dopant precursor thereon to desorb the non-dopant atoms.
  • the helium metastable atoms may help remove the excess hydrogen atoms to form a desired partial or complete boron monolayer. .Exposure of the substrate surface to the metastable atoms may .last, for example, for a few seconds or up to a few tens of seconds.
  • step 422 the helium plasma may be turned off and the deposition system may be again purged with one or more inert gases .
  • the above-described process steps of 406 through 412 and/or the process steps of 416 through 422 may be repeated until a desired silicon film with one or more monolayers with desired dopant profile has been obtained.
  • ALD thin films containing the following species may also be deposited or doped: germanium (Ge) , carbon (C) , gallium (Ga) , arsenic (As) , indium (In) , aluminum (Al) , or phosphorus (P) .
  • the resulting thin film may contain a single species such as carbon or germanium, or a compound such as III-V compounds (e.g., GaAs, InAlP) .
  • a precursor substance containing the corresponding species may be utilized.
  • Candidates for the precursor substance may include but are not limited to: hydrides (e.g. SiH 4 , Si 2 H 6 , GeH 4 ) or halogenated hydrides (e.g. SiHCl 3 ), halogenated hydrocarbons (such as CHF 3 ), alkyls (e.g. trimethyl aluminum - Al (CH 3 ) 3, or dimethyl ethyl aluminum - CH 3 CH 2 -Al (CH 3 ) 2 ) , or halides (such as CCl 4 or CCl 2 F 2 ) .
  • hydrides e.g. SiH 4 , Si 2 H 6 , GeH 4
  • halogenated hydrides e.g. SiHCl 3
  • halogenated hydrocarbons such as CHF 3
  • alkyls e.g. trimethyl aluminum - Al (CH 3 ) 3, or dimethyl ethyl aluminum - CH 3 CH 2 -Al (CH 3 ) 2
  • halides such as

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

L'invention concerne une technique pour un dépôt de couche atomique. Selon un mode de réalisation exemplaire particulier, la technique peut être réalisée par un appareil destiné à un dépôt de couche atomique. L'appareil peut comprendre une chambre de traitement ayant une plateforme de substrat pour supporter au moins un substrat. L'appareil peut également comprendre une alimentation en substance précurseur, la substance précurseur comprenant des atomes d'au moins une première espèce et des atomes d'au moins une deuxième espèce, et l'alimentation fournissant la substance précurseur pour saturer une surface du au moins un substrat. L'appareil peut comprendre en outre une source de plasma d'atomes métastables d'au moins une troisième espèce, les atomes métastables étant capables de détruire les atomes de la au moins une deuxième espèce à partir de la surface saturée du au moins un substrat pour former une ou plusieurs couches atomiques de la au moins une première espèce.
PCT/US2007/005596 2007-03-06 2007-03-06 Technique pour un dépôt de couche atomique WO2008108754A1 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN200780052552A CN101680087A (zh) 2007-03-06 2007-03-06 原子层沉积技术
PCT/US2007/005596 WO2008108754A1 (fr) 2007-03-06 2007-03-06 Technique pour un dépôt de couche atomique
KR1020097020540A KR20100019414A (ko) 2007-03-06 2007-03-06 원자층 증착을 위한 기술
JP2009552644A JP2010520638A (ja) 2007-03-06 2007-03-06 原子層堆積の技術

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2007/005596 WO2008108754A1 (fr) 2007-03-06 2007-03-06 Technique pour un dépôt de couche atomique

Publications (1)

Publication Number Publication Date
WO2008108754A1 true WO2008108754A1 (fr) 2008-09-12

Family

ID=38645876

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/005596 WO2008108754A1 (fr) 2007-03-06 2007-03-06 Technique pour un dépôt de couche atomique

Country Status (4)

Country Link
JP (1) JP2010520638A (fr)
KR (1) KR20100019414A (fr)
CN (1) CN101680087A (fr)
WO (1) WO2008108754A1 (fr)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011114734A1 (fr) * 2010-03-18 2011-09-22 三井造船株式会社 Dispositif de formation de film mince
US9145604B2 (en) 2011-09-30 2015-09-29 Tokyo Electron Limited Thin film forming method and film forming apparatus
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
US10895009B2 (en) 2013-07-19 2021-01-19 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5369304B2 (ja) * 2010-09-30 2013-12-18 ソイテック 原子層堆積によって半導体材料を形成するためのシステム及び方法
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
CN102304697B (zh) * 2011-09-26 2013-06-12 中国科学院微电子研究所 一种金刚石的制备方法
JP2013124392A (ja) * 2011-12-14 2013-06-24 Tokyo Electron Ltd 成膜装置
JPWO2013153695A1 (ja) * 2012-04-09 2015-12-17 三菱電機株式会社 光電変換装置の製造方法および光電変換装置
US20150087140A1 (en) * 2012-04-23 2015-03-26 Tokyo Electron Limited Film forming method, film forming device, and film forming system
KR20150048259A (ko) * 2013-10-23 2015-05-07 한국화학연구원 단원자 증착법을 이용한 복합 및 비대칭적인 복합박막 및 이의 제조방법
WO2016114850A1 (fr) * 2015-01-14 2016-07-21 Agilent Technologies, Inc. Composants avec un revêtement obtenu par dépôt de couches atomiques et leurs procédés de production
KR101991456B1 (ko) * 2016-03-28 2019-06-21 한국화학연구원 단원자 증착법을 이용한 복합 및 비대칭적인 복합박막 및 이의 제조방법
CN106449907B (zh) * 2016-11-18 2019-04-12 电子科技大学 一种p型指数掺杂结构GaN光电阴极材料的生长方法
WO2019190783A1 (fr) * 2018-03-26 2019-10-03 Lam Research Corporation Dépôt de couche atomique sur des films de carbone
CN115679291A (zh) * 2021-07-28 2023-02-03 长鑫存储技术有限公司 通过沉积工艺形成薄膜的方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000054320A1 (fr) * 1999-03-11 2000-09-14 Genus, Inc. Dcpv sequentiel assiste par radicaux libres
WO2001045158A1 (fr) * 1999-12-17 2001-06-21 Genus, Inc. Appareil et concept destines a reduire au minimum le depot chimique parasite en phase vapeur lors du depot couche atomique par couche atomique
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020162506A1 (en) * 2000-11-29 2002-11-07 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2005112082A1 (fr) * 2004-05-18 2005-11-24 Ips Ltd. Appareil et procede de depot de couche atomique plasmique a deux niveaux et a impulsions cycliques
US20060027167A1 (en) * 2003-03-25 2006-02-09 Tokyo Electron Limited Processing apparatus and processing method
US20070042573A1 (en) * 2005-08-22 2007-02-22 Samsung Electronics Co., Ltd. Methods of Forming Conductive Polysilicon Thin Films Via Atomic Layer Deposition and Methods of Manufacturing Semiconductor Devices Including Such Polysilicon Thin Films
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000054320A1 (fr) * 1999-03-11 2000-09-14 Genus, Inc. Dcpv sequentiel assiste par radicaux libres
WO2001045158A1 (fr) * 1999-12-17 2001-06-21 Genus, Inc. Appareil et concept destines a reduire au minimum le depot chimique parasite en phase vapeur lors du depot couche atomique par couche atomique
US20020162506A1 (en) * 2000-11-29 2002-11-07 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020164421A1 (en) * 2000-12-06 2002-11-07 Chiang Tony P. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20060027167A1 (en) * 2003-03-25 2006-02-09 Tokyo Electron Limited Processing apparatus and processing method
WO2005112082A1 (fr) * 2004-05-18 2005-11-24 Ips Ltd. Appareil et procede de depot de couche atomique plasmique a deux niveaux et a impulsions cycliques
US20070042573A1 (en) * 2005-08-22 2007-02-22 Samsung Electronics Co., Ltd. Methods of Forming Conductive Polysilicon Thin Films Via Atomic Layer Deposition and Methods of Manufacturing Semiconductor Devices Including Such Polysilicon Thin Films
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011114734A1 (fr) * 2010-03-18 2011-09-22 三井造船株式会社 Dispositif de formation de film mince
JP2011198897A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
US9145604B2 (en) 2011-09-30 2015-09-29 Tokyo Electron Limited Thin film forming method and film forming apparatus
US9777366B2 (en) 2011-09-30 2017-10-03 Tokyo Electron Limited Thin film forming method
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
US10895009B2 (en) 2013-07-19 2021-01-19 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces

Also Published As

Publication number Publication date
JP2010520638A (ja) 2010-06-10
KR20100019414A (ko) 2010-02-18
CN101680087A (zh) 2010-03-24

Similar Documents

Publication Publication Date Title
US20070065576A1 (en) Technique for atomic layer deposition
WO2008108754A1 (fr) Technique pour un dépôt de couche atomique
US20070087581A1 (en) Technique for atomic layer deposition
US6716713B2 (en) Dopant precursors and ion implantation processes
TW201704521A (zh) 用於沉積第13族金屬或類金屬氮化物膜的方法
US20040086434A1 (en) Apparatus and method for treating objects with radicals generated from plasma
TW201710548A (zh) 形成高p型摻雜鍺錫膜的方法以及包含該等膜的結構和裝置
US6313017B1 (en) Plasma enhanced CVD process for rapidly growing semiconductor films
TW201246287A (en) Epitaxy of high tensile silicon alloy for tensile strain applications
CN113316835A (zh) 用于形成具有低漏电流的含硅硼膜的方法
US20140299056A1 (en) Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US20240297039A1 (en) Silicon precursors for silicon nitride deposition
JP2020076157A (ja) 薄膜の形成方法
US12027365B2 (en) Methods for filling a gap and related systems and devices
US20230167548A1 (en) Thermal atomic layer deposition of ternary gallium oxide thin films
KR102094540B1 (ko) 플라즈마를 이용한 박막 제조방법 및 장치
KR102114857B1 (ko) 상압 플라즈마를 이용한 선택적 박막 형성 방법
TW200837212A (en) Technique for atomic layer deposition
JP2000058460A (ja) シリコン薄膜製造方法
WO2000044038A1 (fr) Processus cvd ameliore par plasma pour la croissance rapide de films en semiconducteur
US20080050928A1 (en) Semiconductor constructions, and methods of forming dielectric materials
FI20206076A1 (en) SEMICONDUCTOR PREPARATION METHOD AND INTERMEDIATE SEMICONDUCTOR PRODUCT
JPH1154443A (ja) N型ダイアモンド半導体の製造方法
JPH06252054A (ja) p型立方晶窒化硼素半導体の製造方法
JPH06236851A (ja) n型立方晶窒化硼素半導体の製造方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780052552.5

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07752310

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2009552644

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020097020490

Country of ref document: KR

Ref document number: 1020097020540

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 07752310

Country of ref document: EP

Kind code of ref document: A1