WO2003028081A2 - Verfahren zum einätzen von strukturen in einen ätzkörper mit einem plasma - Google Patents

Verfahren zum einätzen von strukturen in einen ätzkörper mit einem plasma Download PDF

Info

Publication number
WO2003028081A2
WO2003028081A2 PCT/DE2002/002363 DE0202363W WO03028081A2 WO 2003028081 A2 WO2003028081 A2 WO 2003028081A2 DE 0202363 W DE0202363 W DE 0202363W WO 03028081 A2 WO03028081 A2 WO 03028081A2
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
frequency
intensity
pulse
time period
Prior art date
Application number
PCT/DE2002/002363
Other languages
German (de)
English (en)
French (fr)
Other versions
WO2003028081A3 (de
Inventor
Franz Laermer
Original Assignee
Robert Bosch Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch Gmbh filed Critical Robert Bosch Gmbh
Priority to JP2003531510A priority Critical patent/JP4763236B2/ja
Priority to US10/473,831 priority patent/US7361287B2/en
Priority to EP02754283A priority patent/EP1430521A2/de
Priority to KR1020047003673A priority patent/KR100910931B1/ko
Publication of WO2003028081A2 publication Critical patent/WO2003028081A2/de
Publication of WO2003028081A3 publication Critical patent/WO2003028081A3/de
Priority to US10/676,295 priority patent/US7785486B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the invention relates to a method for etching structures in an etching body, in particular laterally precisely defined recesses in a silicon body, with a plasma, according to the preamble of the main claim.
  • a so-called double pulse technique has already been described in the application DE 199 57 169 AI, in which low-frequency pulsing of a high-frequency modulated carrier signal of high pulse peak power at the substrate electrode in the etching chamber of an inductively coupled plasma etching system suppresses this undesirable pocket formation and at the same time wide process window for the plasma etching process is reached.
  • sufficient pocket stability is achieved with aspect ratios of the etched structures from 5: 1 to 10: 1 and reached a certain tolerance towards overetching. In the case of even higher aspect ratios of the trench trenches produced or high overetching times, the formation of pockets cannot be completely suppressed in this process either.
  • ICP - “Inductively Coupled Plasma” ICP - “Inductively Coupled Plasma”
  • ICP - “Inductively Coupled Plasma” the occurrence of high reflected powers in the associated high-frequency generator, since undefined conditions exist during the ignition of the plasma discharge in the plasma, which require an adaptation of the coupled-in high-frequency power make the plasma impedance very difficult during the transients, so the ignition of the plasma discharge represents a transition from an electrically capacitively coupled to an inductively coupled mode, which leads to impedance mismatches and thus high reflected powers.
  • the unpublished application DE 100 51 831.1 already contains a device and a method for Etching of a substrate by means of an inductively coupled plasma has been proposed, in which a static or time-variable magnetic field is provided between the substrate and the ICP source, which is generated via at least two magnet coils arranged one above the other and through which current flows in opposite directions.
  • the object of the present invention was to provide a method for etching structures into an etched body with improved pocket stability, in particular with high aspect ratios of the etched structures and high overetch times.
  • the method according to the invention has the advantage of a significantly increased pocket stability when etching silicon, for example, in particular when a buried dielectric etching stop layer such as an SiO 2 layer is reached, and an increased tolerance to overetching.
  • the intensity of the plasma is modulated or pulsed in such a way that the plasma discharge does not go out during the “discharge pauses” and remains in inductively coupled mode, that is to say that just as much high-frequency power is generated during this period
  • the plasma source or the inductively coupled plasma is fed in, as is necessary for maintaining a minimum discharge. Because the plasma does not go out completely during these discharge pauses or pulse pauses, the plasma is subsequently increased to maximum intensity. each time prevents a high reflected power from occurring, since the electrically capacitively coupled start phase of the plasma discharge is largely avoided and the inductively coupled phase of the plasma discharge is started immediately.
  • the high-frequency power coupled into the substrate electrode which is done in accordance with the double pulse technique described in DE 199 57 169 AI, is correlated or synchronized with the modulation of the plasma intensity.
  • a bipolar plasma consisting of positively and negatively charged ions, that is to say in “after-glow” "Phases, either by recombinations with positively charged ions or by capturing neutral particles, trapping free electrons. Due to the numerical preponderance of the neutral particles surrounding the electrons, the generation of anions by electron capture is the dominant reaction.
  • the number of negative charge carriers with a mass that corresponds to a multiple of the proton mass is three to four orders of magnitude smaller than the number of positive charge carriers with a mass that corresponds to a multiple of the proton mass
  • the number of these negative and positive charge carriers becomes approximately the same in these phases, because as the proportion of free electrons compared to the ions in the plasma decreases, the consequences of the unequal charge carrier masses and charge carrier mobility disappear, the plasma potential approaches previously positive values in the range of some 10 volts a value around 0 V, so that now positive and negative charge carriers can reach the etched body to be processed, for example a silicon wafer, in the same way, which enables optimum charge compensation there even with high aspect ratios.
  • the modulation of the plasma intensity as a function of time can advantageously, in addition to a periodically changing high-frequency power coupled into the plasma from the corresponding coil generator, alternatively or additionally also by a periodically changing field strength of a magnetic field acting on the plasma, for example a magnetic field a device according to the type of DE 100 51 831.1.
  • FIG. 1 shows a schematic diagram of a plasma etching device for carrying out the method according to the invention
  • FIG. 2 explains a first exemplary embodiment of a temporal modulation of the plasma intensity, which is synchronized with the high-frequency pulsed, low-frequency modulated high-frequency power, which is coupled into the substrate electrode
  • FIG. 3 explains the structure of the high-frequency pulsed
  • FIG. 4 explains a second exemplary embodiment of the modulation of the plasma intensity and its synchronization with the high-frequency power coupled into the substrate electrode
  • FIG. 5 explains a third exemplary embodiment also during the low-frequency pulse pauses
  • FIG. 6 explains the second exemplary embodiment even during the low-frequency pulse pauses.
  • FIG. 1 shows a plasma etching system 5 known from DE 100 51 831.1, with which, for example, an anisotropic plasma etching process in silicon for producing trench trenches in the manner of DE 42 41 045 Cl is carried out.
  • an etching chamber 10 a substrate electrode 18 with a substrate 19 arranged thereon, for example a silicon wafer, is provided for this purpose.
  • the substrate electrode 18 is electrically connected to a second matchbox 21 for impedance matching and, via it, to a substrate power generator 22.
  • a coil 11 is provided which surrounds the etching chamber 10 and which is connected via a first matchbox 12 for impedance matching with a coil generator 13 in
  • a high-frequency power is coupled into the etching chamber 10 with the aid of the coil 11 via the coil generator 13 mentioned and the first matchbox 12, so that an inductively coupled plasma 15 is formed there.
  • the etching chamber 10 has a gas inlet 14 in its upper region and a gas outlet 20 in its lower region for the supply or removal of process gases, for example alternately etching and passivating gases.
  • the etching chamber 10 between the production area of the inductively coupled plasma 15 and the substrate electrode 18 is surrounded by two field coils 16, for which purpose two corresponding spacers 17 are inserted into the side wall of the etching chamber 10, which receive these coils 16.
  • the device known from DE 199 27 806 AI or preferably the device known from DE 199 33 842 AI is provided which, for example, as described therein, in the first matchbox 12 or the coil generator 13 is integrated.
  • a high-frequency pulsed, low-frequency modulated high frequency is coupled into the substrate 19, as described in DE 199 57 169 AI.
  • FIG. 3 explains this high-frequency pulsed, low-frequency modulated high-frequency power, with pulse packets 30 alternating with low frequency and periodic pulse pauses 31 with a frequency of, for example, 1 Hz to 500 Hz, preferably 10 Hz to 250 Hz, preferably 100, periodically alternating in the substrate electrode 18 Hz, with a so-called "duty cycle" of 20% to 80%, preferably 50%, and an average power of preferably 5 watts to 20 watts, for example 10 watts, can be coupled in.
  • the low-frequency pulse packets 30 according to FIG.
  • the one coupled into the substrate electrode 18 in the process average power in the time average is, for example, 5 watts to 40 watts, in particular 20 watts during the high-frequency clocked pulses 32.
  • an individual high-frequency clocked pulse 32 consists of a high-frequency
  • a frequency of, for example, 13.56 MHz and a high-frequency power of preferably 100 watts to 1 kWatt, for example 400 watts.
  • FIG. 2 explains a first exemplary embodiment of the method according to the invention, the high-frequency pulsed, low-frequency modulated high-frequency power in the substrate electrode 18 being synchronized with the modulation of the plasma intensity in such a way that plasma excitation with minimal power (plasma almost off), that is, on first plasma intensity minimum 41, each coinciding with the low-frequency pulse pauses 31.
  • the cycle ratio of 1: 1 shown in FIG. 2, that is to say the ratio of the time duration of the first plasma intensity maxima 40 to the time duration of the first plasma intensity minima 41, can otherwise only be seen as an example. Rather, for reasons of plasma excitation efficiency, it is expedient to excite the plasma 15 as long as possible and to blank it out for as short a time as possible, i.e. it is advantageous to set a ratio of well below 1: 1 when scanning the excitation or the plasma intensity in order to avoid that the required peak pulse powers of the high-frequency power to be coupled into the plasma 15 become enormous large. For example, for an average power at the coil 11 of 3 kW to 5 kW with a pulse duty factor of 1: 1, 6 kW to 10 kW peak power are already required in order to achieve the desired time average.
  • the time duration of the first plasma intensity maxima 40 and the subsequent first plasma intensity minima 41 is equal to the time duration of the low-frequency pulse packets 30 or the subsequent low-frequency pulse breaks 31.
  • the intensity of the plasma 15 during the first plasma intensity minima 41 is chosen to be so low that the plasma 15 does not go out during these plasma intensity minima.
  • FIG. 4 shows a second exemplary embodiment of a synchronization of the modulation of the plasma intensity with the high-frequency pulsed, low-frequency modulated high-frequency power in the substrate electrode 18.
  • two or more high-frequency clocked pulses 32 on the substrate electrode 18 is enclosed by a second plasma intensity maximum 40 l , and the plasma 15 is switched to a “low” mode during the subsequent high-frequency pulse pause 33, that is to say the plasma intensity reaches a second plasma intensity minimum 41 which is chosen to be so low that the plasma 15 does not go out at this time, so that two or more high-frequency clocked pulses 32 always fall into a second plasma intensity maximum 40 'before the high-frequency clocked pulse pause 33 coincides with the second plasmin intensity minimum 41 v falls.
  • the advantage of the exemplary embodiment according to FIG. 4 compared to the exemplary embodiment according to FIG. 2 is that in the case of FIG. 4, fewer charges can be accumulated in the trench trenches produced during the relatively long "on" times of the comparatively low-frequency modulation of the plasma intensity comparatively few high-frequency pulsed pulses 32, for example a maximum of 20, coincide with the second plasma intensity maximum 40 v , only relatively few electrical charges are accumulated in the trench trenches during this time before a discharge occurs again during a subsequent second plasma intensity minimum 41 % .
  • the high-frequency power coupled into the inductively coupled plasma 15 is again between 3 kW and
  • FIG. 5 explains a third exemplary embodiment for a time synchronization of a modulation of the intensity of the plasma 15 with the high-frequency pulsed, low-frequency modulated high-frequency power, which is coupled into the substrate electrode 18.
  • the temporal modulation of the intensity of the plasma 15 according to FIG. 4 is maintained even during the low-frequency pulse pause 31.
  • the term “relatively long” in relation to the decay time of the anion concentration in the plasma 15 after its breakdown or the transition of the plasma intensity to the second plasma intensity minimum 41 It is to be understood that the plasma 15 is raised and lowered again and again so that the phases of a plasma breakdown with the associated increased anion concentration are repeated continuously.
  • the low-frequency pulsed pause 31 is used more effectively, not only once at the beginning a plasma breakdown with an increased concentration of anions is generated, which then quickly subsides, measured in terms of the duration of the low-frequency pulse pause 31, but such phases are always provided for the discharge of the trench trenches produced in the etching body
  • the low-frequency pulse pauses 31 are now no longer just that
  • this state can be intercepted and kept at the limit of the inductively coupled operating mode, so that the increased power of the coil generator 13 increases the electron density in the plasma 15 to the value of a stable operating state.
  • the forward power P F ⁇ r w rd of the coil generator 13 is coupled in the plasmin intensity minima 41, 41 ⁇ with the power P Ref i ected reflected in the coil generator 13 according to:
  • V a gain factor of the control loop, for which the following preferably applies: V >> 1.
  • control factor V is preferably set here to values that are significantly greater than 1, for example to values between 5 and 10, and in addition, as a setpoint value setting (Ps o ii), a value is set as close as possible or even slightly below the value required for a limit operation of the plasma 15, ie an intensity just above the extinction of the plasma 15, is required.
  • the pulse strategy explained above i. H. the modulation of the plasma intensity and the high-frequency power coupled into the substrate electrode 18 as a function of time in a process according to the type of DE 42 41 045 Cl are used both in the course of the deposition cycles and during the etching cycles. As a rule, however, it is sufficient to restrict them to the etching cycles, since there is a risk of pocket formation only during the etching cycles. In addition, the full generator output is then available during the deposition cycles. In addition, it is also often advantageous to completely switch off the coupling of high-frequency power into the substrate electrode 19 during the deposition cycles.
  • a particularly simple modulation of the intensity of the plasma 15 results from the use of an inductively coupled plasma source with a magnet coil arrangement, as described in the application DE 100 51 831.1 and shown in FIG. 1.
  • at least two magnetic field coils 16 are arranged between the ICP source, that is to say the inductively coupled plasma 15, and the substrate 19, an upper magnetic field coil 16 facing the ICP source and a lower magnetic field coil facing the substrate 19, which is caused by opposing and im Generally different sized e- flow through electric currents so that they generate magnetic fields that are directed towards one another and generally have different strengths.
  • the upper magnetic field coil 16 facing the ICP source is set to a magnetic field strength as is required for optimal plasma generation, while the lower magnetic field coil 16 facing the substrate 19 generates an oppositely directed magnetic field, the strength of which is so is set as is for optimal etch uniformity, i.e. H. an optimal distribution of the energy input over the surface of the substrate 19 is required.
  • the use of the magnetic field coils 16 initially has the effect that a plasma 15 with a lower excitation density and electron concentration can be maintained, especially in the limit case of the plasma which is not yet extinguishing, than would be possible without it. This is due to the fact that the generated magnetic field "increases the lifespan" of the electrons in the plasma 15 by reducing wall losses in the source area, so that a desired "ambipolar" plasma with a minimal density of free electrons is particularly good Plasma intensity minima 41, 41 'can be maintained.
  • a plasma etching system 5 In order to achieve a modulation of the intensity of the plasma 15, in a plasma etching system 5 according to FIG. 1, not only is the high-frequency power coupled into the plasma 15 by the coil generator 13 via the coil 11, but additionally or alternatively also the strength of the field coils 16 generated magnetic field within the chamber 10 is available.
  • coil currents in field coils 16 are first used, which correspond to the target current values of the process. ses according to DE 100 51 831.1, ie for example 10 amperes for the upper field coil 16 and 7 amperes for the oppositely polarized lower field coil 16.
  • these currents are then reduced, for example in such a way that both currents in the field coils 16 are synchronously reduced to zero or clocked.
  • intermediate values can also be approached, for example 3 amperes for the upper field coil 16 and 2 amperes for the lower field coil 16.
  • both coil currents are switched back and forth simultaneously between a high and a low extreme value, as a result of which the same effect is achieved as by reducing the power of the coil generator 13, ie. H. the plasma density collapses when the magnetic coil currents are reduced and reaches the plasma intensity minimum 41, 41 ', with a high anion density arising for a short time from the recombination of electrons and neutral gas particles.
  • magnetic coil currents cannot be modulated as quickly as the high-frequency power coupled into the plasma 15.
  • only clock frequencies are below
  • This finite rate of change can be made particularly simple with the aid of modulation of the magnet coil currents, since only a direct voltage or a direct current with a modulation voltage of finite slope must be superimposed here.
  • the alternating voltages or currents at the two field coils 16 are in phase opposition at all times, U 0 ⁇ and U 02 denoting the voltage amplitudes and current amplitudes on each of the two magnetic field coils 16.
  • the offset currents or offset voltages U 0ffSet , ⁇ and U 0ffS e t , 2 are each dimensioned such that the occurrence of so-called “beaking effects” in the edge region of the Substrate 19 is still effectively suppressed and thus a homogeneous etching result is achieved over the entire substrate surface.
  • the frequency ⁇ is comparatively small according to the above equations, i.e. for example 10 Hz to 50 Hz, and the speed of the first matchbox 12 used in the impedance matching is high enough to follow such a modulation of the plasma intensity, it is even possible in this way to completely avoid the occurrence of reflected powers in the coil generator 13 , and yet significantly suppress unwanted pocket formation. It is only important that the density of the plasma 15 is modulated and that this modulation preferably periodically provides phases of increased anion concentration, which ensure that trench trenches with a high aspect ratio are discharged.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
PCT/DE2002/002363 1999-04-30 2002-06-28 Verfahren zum einätzen von strukturen in einen ätzkörper mit einem plasma WO2003028081A2 (de)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2003531510A JP4763236B2 (ja) 2001-09-14 2002-06-28 プラズマを用いてエッチングボディにパターンをエッチングする方法
US10/473,831 US7361287B2 (en) 1999-04-30 2002-06-28 Method for etching structures in an etching body by means of a plasma
EP02754283A EP1430521A2 (de) 2001-09-14 2002-06-28 Verfahren zum einätzen von strukturen in einen ätzkörper mit einem plasma
KR1020047003673A KR100910931B1 (ko) 2001-09-14 2002-06-28 플라즈마에 의해 구조를 에칭 바디에 에칭하기 위한 방법
US10/676,295 US7785486B2 (en) 2001-09-14 2003-09-30 Method of etching structures into an etching body using a plasma

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10145297A DE10145297A1 (de) 2001-09-14 2001-09-14 Verfahren zum Einätzen von Strukturen in einen Ätzkörper mit einem Plasma
DE10145297.7 2001-09-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/676,295 Continuation-In-Part US7785486B2 (en) 2001-09-14 2003-09-30 Method of etching structures into an etching body using a plasma

Publications (2)

Publication Number Publication Date
WO2003028081A2 true WO2003028081A2 (de) 2003-04-03
WO2003028081A3 WO2003028081A3 (de) 2003-08-07

Family

ID=7699006

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/DE2002/002363 WO2003028081A2 (de) 1999-04-30 2002-06-28 Verfahren zum einätzen von strukturen in einen ätzkörper mit einem plasma

Country Status (5)

Country Link
EP (1) EP1430521A2 (ja)
JP (1) JP4763236B2 (ja)
KR (1) KR100910931B1 (ja)
DE (1) DE10145297A1 (ja)
WO (1) WO2003028081A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1543541A2 (en) * 2002-07-24 2005-06-22 Unaxis USA Inc. Notch-free etching of high aspect soi structures using alternating deposition and etching and pulsed plasma

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
DE10309711A1 (de) 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0140294B1 (en) * 1983-10-19 1990-04-25 Hitachi, Ltd. Plasma processing method and apparatus for carrying out the same
WO1997014177A1 (en) * 1995-10-13 1997-04-17 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5880034A (en) * 1997-04-29 1999-03-09 Princeton University Reduction of semiconductor structure damage during reactive ion etching
DE19957169A1 (de) * 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02174229A (ja) * 1988-12-27 1990-07-05 Sumitomo Metal Ind Ltd プラズマ装置およびその使用方法
JP3078707B2 (ja) * 1994-07-07 2000-08-21 株式会社半導体エネルギー研究所 減圧cvd装置のクリーニング方法
JP3093572B2 (ja) * 1994-07-07 2000-10-03 株式会社半導体エネルギー研究所 ドライエッチング方法
JP3424182B2 (ja) * 1994-09-13 2003-07-07 アネルバ株式会社 表面処理装置
JPH09263948A (ja) * 1996-03-29 1997-10-07 Toshiba Corp プラズマを用いた薄膜形成方法、薄膜製造装置、エッチング方法、及びエッチング装置
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
AT407287B (de) * 1998-08-17 2001-02-26 Mayr Alfred Friedrich Dübel
JP2000150483A (ja) * 1998-11-16 2000-05-30 C Bui Res:Kk プラズマ処理装置
KR100317915B1 (ko) * 1999-03-22 2001-12-22 윤종용 플라즈마 식각 장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0140294B1 (en) * 1983-10-19 1990-04-25 Hitachi, Ltd. Plasma processing method and apparatus for carrying out the same
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
WO1997014177A1 (en) * 1995-10-13 1997-04-17 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5880034A (en) * 1997-04-29 1999-03-09 Princeton University Reduction of semiconductor structure damage during reactive ion etching
DE19957169A1 (de) * 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1543541A2 (en) * 2002-07-24 2005-06-22 Unaxis USA Inc. Notch-free etching of high aspect soi structures using alternating deposition and etching and pulsed plasma
EP1543541A4 (en) * 2002-07-24 2007-04-18 Unaxis Usa Inc ETCH-FREE ENGRAVING OF HIGH-FORM FACTOR STRUCTURES USING ALTERNATIVE DEPOSITION AND ETCHING STEPS AND PLASMA PULSE

Also Published As

Publication number Publication date
JP2005504439A (ja) 2005-02-10
DE10145297A1 (de) 2003-04-10
JP4763236B2 (ja) 2011-08-31
EP1430521A2 (de) 2004-06-23
WO2003028081A3 (de) 2003-08-07
KR20040031082A (ko) 2004-04-09
KR100910931B1 (ko) 2009-08-06

Similar Documents

Publication Publication Date Title
EP1864313B1 (de) Vakuumplasmagenerator
DE3733135C1 (de) Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
EP1287548B1 (de) Plasmaätzanlage
EP1095401B1 (de) Verfahren zum anisotropen plasmaätzen von halbleitern
DE19781667B4 (de) Plasmaerzeugungsverfahren und -gerät mit einer induktiv gekoppelten Plasmaquelle
DE10309711A1 (de) Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
DE10015244C2 (de) Verfahren und Schaltungsanordnung zur pulsförmigen Energieeinspeisung in Magnetronentladungen
DE19933842A1 (de) Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE3821207A1 (de) Anordnung zum beschichten eines substrats mit dielektrika
DE4132558C1 (ja)
DE4319717A1 (de) Vorrichtung zum Erzeugen planaren Niedrigdruckplasmas unter Verwendung einer Spule mit deren Achse parallel zu der Oberfläche eines Koppelfensters
US20040173571A1 (en) Method for etching structures in an etching body by means of a plasma
EP0359966A2 (de) Vorrichtung zum reaktiven Ionenätzen
EP1110237B1 (de) Vorrichtung und verfahren zum hochratenätzen eines substrates mit einer plasmaätzanlage und vorrichtung und verfahren zum zünden eines plasmas und hochregeln oder pulsen der plasmaleistung
DE10317208A1 (de) Plasmadepositionsverfahren
DE202017103327U1 (de) Vorrichtung zum Zünden einer Plasmalast
EP1203396B1 (de) Verfahren zum ätzen eines substrates mittels eines induktiv gekoppelten plasmas
WO2009024347A1 (de) Einrichtung und verfahren zur erzeugung eines plasmas durch niederfrequente induktive anregung
EP2439763A2 (de) Magnetron-Vorrichtung und Verfahren zum gepulsten Betreiben einer Magnetron-Vorrichtung
EP1153425B1 (de) Plasmaätzverfahren mit gepulster substratelektrodenleistung
WO2003028081A2 (de) Verfahren zum einätzen von strukturen in einen ätzkörper mit einem plasma
DE19616187A1 (de) Vorrichtung zum Anregen elektrischer Entladungen mittels getakteter Spannungsspitzen
WO2001088950A1 (de) Plasmaätzanlage
EP1401249A2 (de) Plasmaquelle
DE19911046B4 (de) Plasmaverfahren

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB IE IT LU MC NL PT SE TR

REEP Request for entry into the european phase

Ref document number: 2002754283

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2002754283

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2003531510

Country of ref document: JP

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 10676295

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020047003673

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 10473831

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 2002754283

Country of ref document: EP