WO2003005427A1 - Systeme de traitement et procede de nettoyage - Google Patents

Systeme de traitement et procede de nettoyage Download PDF

Info

Publication number
WO2003005427A1
WO2003005427A1 PCT/JP2002/006734 JP0206734W WO03005427A1 WO 2003005427 A1 WO2003005427 A1 WO 2003005427A1 JP 0206734 W JP0206734 W JP 0206734W WO 03005427 A1 WO03005427 A1 WO 03005427A1
Authority
WO
WIPO (PCT)
Prior art keywords
cleaning
gas
processing chamber
cleaning gas
processing system
Prior art date
Application number
PCT/JP2002/006734
Other languages
English (en)
Japanese (ja)
Inventor
Junichi Arami
Takashi Akahori
Risa Nakase
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2003005427A1 publication Critical patent/WO2003005427A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Definitions

  • the present invention relates to a processing system and a cleaning method capable of performing efficient cleaning at low manufacturing cost.
  • a substrate such as a semiconductor wafer is subjected to a desired process using various process gases in a chamber to form a thin film, perform etching, and the like. In such a process, it is necessary to clean the inside of the chamber after repeating the process a plurality of times.
  • a chemical vapor deposition method (Chemical Vapor Deposition: CVD), a physical vapor deposition method (Physical Vapor Deposition: PVD), or the like is used for a thin film forming process on a semiconductor substrate.
  • CVD chemical Vapor Deposition
  • PVD physical vapor deposition method
  • film deposition occurs not only on the substrate but also throughout the chamber. For this reason, the film deposited on the chamber wall or the like is peeled off and is taken into the deposited film on the substrate or adheres to the surface of the deposited film. This leads to defects in the manufactured device, causing problems such as a decrease in device yield and deterioration in device characteristics.
  • dry etching or the like is performed in which an etching gas is introduced into a processing chamber to perform etching.
  • an etching gas is introduced into a processing chamber to perform etching.
  • substances generated by the etching adhere and deposit everywhere in the chamber. These products are composed of, for example, by-products generated by etching.
  • the deposited or deposited film becomes It grows gradually on the surface of each part in Yamba, and the film thickness becomes thick. The thick film eventually peels off and causes particles, and also deteriorates the reproducibility of the etched shape.
  • etching gas As a gas (etching gas) used for cleaning, a fluorine-based or chlorine-based gas is used. By activating this gas, for example, as plasma, active species such as fluorine radicals are generated and reacted with the deposited film in the chamber to remove them.
  • dry cleaning is usually performed in a vacuum state of a chamber for the purpose of extending the life of active species such as radicals.
  • the chamber is evacuated at a high speed, and the residence time of the cleaning gas in the chamber is very short.
  • the reaction rate of the cleaning gas introduced into the chamber with respect to contaminants in the chamber is usually as low as about 10%, and most of the cleaning gas is discarded without being used.
  • gases used for cleaning such as nitrogen trifluoride, are generally expensive and contribute to an increase in manufacturing costs.
  • the conventional dry cleaning has a problem that cleaning efficiency is low and manufacturing cost is high due to low utilization efficiency of the cleaning gas. Disclosure of the invention
  • the present invention provides an efficient cleaning method at a low manufacturing cost. It is an object of the present invention to provide a processing system and a cleaning method that can perform the cleaning.
  • Another object of the present invention is to provide a processing system and a cleaning method with high cleaning gas utilization efficiency.
  • a processing system includes: a processing chamber (101) for performing a predetermined processing on an object;
  • the exhaust gas (109) and the cleaning gas line (111) are provided so as to be connected to each other, and the cleaning gas exhausted by the exhaust device (109) is guided to the processing chamber (101).
  • the Power 3 ⁇ 4 that consists of.
  • the circulation line (128) may include a trap device (115) for selectively trapping a reaction product gas generated by cleaning in the tally-jung gas.
  • the trap device (115) traps the reaction product gas based on, for example, a difference in vapor pressure between the reaction product gas and the cleaning gas.
  • the processing system having the above configuration may further include a pressure adjusting device (107) for adjusting the pressure of the circulation line (128) to a predetermined pressure.
  • the cleaning gas is composed of nitrogen trifluoride.
  • the processing system having the above configuration may further include an activator (110) for activating and introducing the clean Jung gas into the processing chamber (101).
  • the processing system having the above configuration further includes a processing chamber (101).
  • An activator (130) for activating the cleaning gas may be provided.
  • a cleaning method according to a second aspect of the present invention is a cleaning method for cleaning a processing chamber (101) for internally performing a predetermined process on a target object,
  • the cleaning method having the above configuration may include a trapping step of selectively trapping a reaction product gas generated by the cleaning in the exhausted cleaning gas.
  • the reaction product gas is trapped based on a difference in vapor pressure between the reaction product gas and the cleaning gas.
  • the cleaning method having the above configuration may further include a step of adjusting the pressure of the circulation line (128) to a predetermined pressure.
  • the cleaning method having the above configuration may further include a step of activating and introducing the cleaning gas into the processing chamber (101).
  • the cleaning method having the above configuration may further include a step of activating the cleaning gas supplied into the processing chamber (101).
  • FIG. 1 is a diagram showing a configuration of a processing system according to an embodiment.
  • FIG. 2 is a diagram showing a vapor pressure curve of each reaction product contained in the gas after cleaning.
  • FIG. 3 is a diagram showing a configuration of a processing system according to another embodiment of the present invention.
  • a processing chamber in which a silicon film such as a silicon oxide film (SiO 2) or a silicon fluoride film (Si OF) is formed is made of nitrogen trifluoride (NF 3 ) and argon.
  • NF 3 nitrogen trifluoride
  • the case of cleaning using the mixed gas of (A r) will be described as an example.
  • FIG. 1 shows a configuration of a processing system 100 according to an embodiment of the present invention.
  • the processing system 100 includes a processing chamber 101, a turbo molecular pump 104, a dry pump 105, an exclusion device 106, and an activator 110. , A cleaning gas supply line 111, a circulation line 128, and 15.
  • the processing chamber 101 is composed of a sealable container made of aluminum or the like.
  • the processing chamber 101 is connected to a process gas introduction line 112.
  • An object to be processed such as a semiconductor wafer is placed in the processing chamber 101.
  • the process gas supplied from the process gas introduction line 112 forms a silicon film such as a silicon oxide film.
  • the turbomolecular pump 104 is connected to the exhaust side of the processing chamber 101 via a gate valve 102 and a pressure regulating valve 103. Further, the dry pump 105 is connected to the exhaust side of the turbomolecular pump 104 via a valve 124 and a pressure gauge 126. Furthermore, the exclusion device 106 is connected to the exhaust side of the dry pump 125505.
  • the turbo molecular pump 104 exhausts the processing chamber 101 and adjusts the pressure.
  • the inside of the processing chamber 101 is maintained at a degree of vacuum controlled by the valve 103.
  • the dry pump 105 is a roughing pump, and the inside of the system is set to a degree of vacuum at which the turbo molecular pump 104 and the molecular drag pump 109 can operate.
  • the turbomolecular pump 104 and the dry pump 105 are oil-free pumps, which prevent oil molecules from entering the system.
  • the processing chamber 101 may be evacuated directly by the turbomolecular pump 104 without providing the dry pump 105.
  • the elimination device 106 detoxifies impurities in the gas passing therethrough and discharges them to the atmosphere.
  • the exclusion device 106 is connected to a dry pump 105 and a cryotrap 115 described later.
  • the elimination device 106 eliminates impurities in the process gas and the cleaning gas.
  • the cleaning gas supply line 111 is connected to the activator 110 via a valve 117.
  • the activator 110 is connected to the processing chamber 101.
  • the cleaning gas supply line 111 is connected to a cleaning gas source (not shown), and supplies a cleaning gas to the activator 110.
  • the cleaning gas is composed of a mixed gas of NF 3 and Ar.
  • the activator 110 activates the cleaning gas (NF 3 ) and supplies the generated cleaning species to the processing chamber 101.
  • the activator 110 selectively supplies, in particular, fluorine radicals in the generated plasma to the chamber 101.
  • the activator 110 generates a high-density plasma having a high plasma density, such as an ECR (Electron Cyclotron Resonance) plasma or an inductively coupled plasma (ICP).
  • ECR Electro Cyclotron Resonance
  • ICP inductively coupled plasma
  • microwaves of 2.45 GHz are applied to generate a region in the vacuum discharge chamber that satisfies the ECR condition of 875 gauss, and gas molecules in this region are converted to plasma. You.
  • the activator 110 is equipped with a filter and the like, and radicals (fluorine) in the plasma Radicals).
  • the process chamber 1 0 1 S i 0 2 is deposited is removed as shown in the chemical formula of the following by fluorine radicals. That, S i O 2 forms the fluorine radicals (F *) and reacted with 4 fluorinated silane (S i F 4), and oxygen (0 2), the. (5) Since all of the reaction products are gases under the cleaning conditions, they are released to the outside of the processing chamber 101 together with the exhaust gas. In this way, the cleaning proceeds, and the deposited film in the chamber 101 is removed.
  • fluorine (F 2 ) which is a polymer of a fluorine radical, hydrogenated fluorine (HF), and the like are included.
  • the circulation line 128 is composed of a molecular drag pump 109 and a cryotrap 115.
  • the circulation line 128 is connected to the processing chamber 101 separately from the line connected to the turbomolecular pump 104, and is connected to the intake side of the activator 110.
  • the circulation line 1152 functions as a line for reusing the cleaning gas once used.
  • the molecular drag pump 109 is connected to the processing chamber 101 via a valve 113 and a pressure regulating valve 114.
  • the pressure of the processing chamber 101 is adjusted by the pressure adjusting valve 114.
  • the valve 20 is provided with a valve 108 and connected to the dry pump 105 via a pressure regulating valve 107.
  • the pressure in the circulation line 128 is adjusted by the pressure adjusting valve 107.
  • the exhaust side of the valve 108 is connected to the intake side of the cryotrap 115 via a valve 120.
  • the molecular drag pump 10 9 is a turbo molecular pump 10 4
  • the recursive drag pump 109 is an oil-free pump, and prevents oil molecules from being mixed into the system.
  • the cryotrap 115 is a gas trap.
  • the cryotrap 115 traps, as a liquid, a predetermined component of the gas passing therethrough due to a difference in vapor pressure depending on the temperature.
  • the cryotrap 115 traps impurities contained in the cleaning gas and purifies the cleaning gas so that it can be reused.
  • the cryotrap 115 has a liquid tank (not shown), and trapped impurities are stored in the liquid tank.
  • the inside of the cryotrap 115 is set at a predetermined pressure so that the cleaning component (NF 3 ) in the gas is a gas and the impurity component is liquefied.
  • the cleaning component passes as a gas, the impurity component is trapped as a liquid.
  • the gas that has passed through the processing chamber 101 contains reaction products such as SiF 4 , F 2 , and HF in addition to NF 3 and Ar.
  • FIG. 2 shows the vapor pressure curves of the components in the cleaning exhaust gas described above.
  • the pressure of circulation line 128 is set to 0.1 Pa (l OTo rr) to 1 Pa (10 OTo rr), and the temperature of cryotrap 115 is set to about 140 ° C (see FIG. by setting the hatched portion) and, HF which is an impurity, S i F 4 are trapped in the liquid, NF 3, F 2, a r passes as a gas. In this way, the cleaning gas once used by the cryotrap 115 is purified.
  • the cryotrap 115 is connected to the activator 110 via a valve 118.
  • the cleaning gas that has passed through the cryotrap 115 is activated again by the activator 110 and is introduced into the processing chamber 101.
  • the valves 118 and 12 are open. At this time, the valve 1 21 is closed.
  • cryotrap 1 1 5 The liquid tank (not shown) of the cryo-trap 1 1 5, therefore the passage of the cleaning gas, the trap of such S i F 4 Yuku been accumulated, cryotrap 1 1 5 must be periodically regenerated.
  • the regeneration of the trap refers to elevating the temperature inside the trap, vaporizing the SiF 4 or the like trapped as a liquid, and removing the liquid from the trap.
  • Regeneration of the cryotraps 115 is performed, for example, when cleaning is performed a predetermined number of times.
  • the valves 118 and 120 are closed and the valve 121 is opened.
  • the cryotrap 115 is connected to the dry pump 125 via the valve 121. Since the trapped impurities are combustible gases, they are removed by a removal device 106 connected to a dry pump 125 to avoid mixing with the process gas.
  • valves 108 and 113 are opened, and the inside of the processing chamber 101 is set to a predetermined degree of vacuum by the molecular drag pump 109. Subsequently, the valve 1 17 is opened, and the cleaning gas is supplied from the cleaning gas supply line 113. At this time, the pressure in the processing chamber 101 is set lower than the pressure in the circulation line 128 by the pressure regulating valves 114, 107, and the cleaning gas is set in the circulation line 128. Flows to
  • the cleaning gas that has entered the activator 110 is activated therein and then introduced into the processing chamber 101.
  • Processing chamber 1 0 active species introduced in the gas in one (fluorine radicals, etc.) forces the processing chamber 1 0 engaged film and forming deposited inside the 1, decomposing it into gaseous components such as S i F 4 And remove. These reaction products are The gas is exhausted from the processing chamber 101 together with unreacted NF 3 and Ar.
  • the gas discharged from the processing chamber 101 flows to the circulation line 128 via the valves 113, 108.
  • the gas further flows through a circulation line 128 set at a predetermined pressure, and reaches a cryotrap 115.
  • the pressure in the circulation line 128 is set to, for example, 0.1 P a (l OTo rr) to: IP a (l O O rr), and the cryotrap 115 is set to a predetermined temperature, for example, _ It is set around 140 ° C.
  • the cleaning components such as NF 3 can be passed while the impurities in the gas (S i F 4 Etc.) can be selectively trapped as a liquid. Therefore, the gas that has passed through the cryotrap 115 is purified and becomes a reusable cleaning gas.
  • the cleaning gas purified by the cryotrap 115 is mixed with a new cleaning gas supplied from the cleaning gas supply line 113, activated by the activator 110, and supplied to the processing chamber 101 again.
  • the cleaning gas when performing dry cleaning of the processing chamber 101, the cleaning gas is circulated and used. For this reason, in general, expensive cleaning gas can be used with high utilization efficiency without being discarded in one use. In addition, since the cleaning gas is used after removing impurities in the cryotrap 115, a reduction in cleaning efficiency and a deterioration in the quality of the cleaning can be avoided.
  • the cryotrap 115 is a temperature trap that separates the cleaning component and the impurity component from each other based on the difference in vapor pressure.
  • any trap that can trap impurities can be used.
  • a mixed gas of NF 3 and Ar is used as the cleaning gas.
  • the substance used for the cleaning gas is not limited to this.
  • NF 3 it can be used CF 4, C 2 F 6, SF 6, fluorine-based gas of NF 3 and the like, halogen-based gas of chlorine-based gas such as C 1 2, BC 1 4.
  • Ar instead of Ar, another inert gas, for example, Ne, Xe, N 2 or the like can be used.
  • the present invention is not limited to the above example, and the present invention can be suitably applied to removing any other deposited film such as a SiC film, a SiN film, a SiOC film, a CF film, and the like. .
  • the cleaning gas is activated outside the processing chamber 101 to generate plasma, and the plasma is generated and introduced into the processing chamber 101.
  • the method of activating gas is not limited to plasma, and any method may be used.
  • the cleaning gas may be activated inside the processing chamber 101. In this case, for example, as shown in FIG. 3, an activator 130 such as a plasma generation mechanism may be provided in the processing chamber 101.
  • the present invention is not limited to this, and can be applied to other processing apparatuses such as an etching apparatus, and further to any other processing apparatus that performs dry cleaning.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

L'invention concerne un système de traitement permettant de mettre en oeuvre un nettoyage à sec, qui consiste à amener un gaz de nettoyage, activé par plasma dans un activateur (110), à l'intérieur d'une chambre (101) de traitement. Dans ce système, un gaz d'échappement provenant de la chambre (101) de traitement traverse une conduite (128) de circulation, est ralenti par un cryopiège (115) constitué d'un piège de température utilisant une différence de pression de vapeur, et est réutilisé à des fins de nettoyage.
PCT/JP2002/006734 2001-07-03 2002-07-03 Systeme de traitement et procede de nettoyage WO2003005427A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001-201946 2001-07-03
JP2001201946A JP2003017416A (ja) 2001-07-03 2001-07-03 処理システム及び処理方法

Publications (1)

Publication Number Publication Date
WO2003005427A1 true WO2003005427A1 (fr) 2003-01-16

Family

ID=19038834

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/006734 WO2003005427A1 (fr) 2001-07-03 2002-07-03 Systeme de traitement et procede de nettoyage

Country Status (2)

Country Link
JP (1) JP2003017416A (fr)
WO (1) WO2003005427A1 (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007520693A (ja) * 2003-06-27 2007-07-26 バイエル・ヘルスケア・エルエルシー 被検体物のマイクロ流体デバイスへの取り込みならびに収納の方法および装置
CN114360997A (zh) * 2021-12-09 2022-04-15 北京北方华创微电子装备有限公司 多腔室清洗方法和半导体工艺设备

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3527915B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP3527914B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
FR2863404B1 (fr) * 2003-12-09 2006-04-21 Cit Alcatel Dispositif pour la generation et la commande du flux d'agents de nettoyage dans une chambre de procedes
JP5823491B2 (ja) * 2010-04-08 2015-11-25 ソルヴェイ(ソシエテ アノニム) 精製されたフッ素を使用する電子デバイスの製造方法
JP7020951B2 (ja) 2018-02-09 2022-02-16 東京エレクトロン株式会社 プラズマ処理システムおよびプラズマ処理方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06252095A (ja) * 1993-02-23 1994-09-09 Hitachi Ltd エッチング方法及び装置
EP0757918A2 (fr) * 1995-07-17 1997-02-12 Teisan Kabushiki Kaisha Dispositif pour la récupération de gaz
JPH09251981A (ja) * 1996-03-14 1997-09-22 Toshiba Corp 半導体製造装置
EP1164628A2 (fr) * 2000-06-13 2001-12-19 Applied Materials, Inc. Dispositif et méthode de traitement
JP2002033315A (ja) * 2000-07-18 2002-01-31 Seiko Epson Corp 成膜装置のクリーニング方法および装置
US20020034880A1 (en) * 2000-09-21 2002-03-21 Kabushiki Kaisha Toshiba Semiconductor processing apparatus and method for manufacturing a semiconductor device
JP2002203792A (ja) * 2000-12-28 2002-07-19 Toshiba Corp ガス循環処理装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06252095A (ja) * 1993-02-23 1994-09-09 Hitachi Ltd エッチング方法及び装置
EP0757918A2 (fr) * 1995-07-17 1997-02-12 Teisan Kabushiki Kaisha Dispositif pour la récupération de gaz
JPH09251981A (ja) * 1996-03-14 1997-09-22 Toshiba Corp 半導体製造装置
EP1164628A2 (fr) * 2000-06-13 2001-12-19 Applied Materials, Inc. Dispositif et méthode de traitement
JP2002033315A (ja) * 2000-07-18 2002-01-31 Seiko Epson Corp 成膜装置のクリーニング方法および装置
US20020034880A1 (en) * 2000-09-21 2002-03-21 Kabushiki Kaisha Toshiba Semiconductor processing apparatus and method for manufacturing a semiconductor device
JP2002203792A (ja) * 2000-12-28 2002-07-19 Toshiba Corp ガス循環処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007520693A (ja) * 2003-06-27 2007-07-26 バイエル・ヘルスケア・エルエルシー 被検体物のマイクロ流体デバイスへの取り込みならびに収納の方法および装置
CN114360997A (zh) * 2021-12-09 2022-04-15 北京北方华创微电子装备有限公司 多腔室清洗方法和半导体工艺设备

Also Published As

Publication number Publication date
JP2003017416A (ja) 2003-01-17

Similar Documents

Publication Publication Date Title
US7022298B2 (en) Exhaust apparatus for process apparatus and method of removing impurity gas
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US6182603B1 (en) Surface-treated shower head for use in a substrate processing chamber
JP3855081B2 (ja) フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法
US7967913B2 (en) Remote plasma clean process with cycled high and low pressure clean steps
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4669605B2 (ja) 半導体製造装置のクリーニング方法
EP1489645B1 (fr) Dispositif cvd et procede de nettoyage d'un dispositif cvd
JP5011148B2 (ja) 半導体装置の製造方法、クリーニング方法及び基板処理装置
JP2003197615A (ja) プラズマ処理装置およびそのクリーニング方法
WO2004082008A1 (fr) Appareil de depot chimique en phase vapeur et procede de nettoyage d'un tel appareil
US20070028943A1 (en) Method of using sulfur fluoride for removing surface deposits
EP1489646B1 (fr) Dispositif cvd et procede de nettoyage d'un dispositif cvd
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
WO2003005427A1 (fr) Systeme de traitement et procede de nettoyage
JP2007227501A (ja) 半導体製造装置のクリーニング方法及びクリーニング機能付き半導体製造装置
EP1154036A1 (fr) Réactions en phase gazeuse pour éliminer des contaminantes dans un réacteur CVD
US6545245B2 (en) Method for dry cleaning metal etching chamber
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
JP2002217166A (ja) ガス処理装置のクリーニング方法
EP1154037A1 (fr) Méthode pour améliorer un procédé de dépôt chimique en phase vapeur
EP0751554A2 (fr) Procédé de nettoyage in-situ des dépÔts dans une chambre de nettoyage par pulvérisation
JPH06283484A (ja) プラズマ装置のクリーニング方法
JP2005159182A (ja) プラズマcvd装置の処理方法
JPH04302143A (ja) 表面処理装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase