WO1991003075A1 - Module de traitement de substrat au gaz - Google Patents

Module de traitement de substrat au gaz Download PDF

Info

Publication number
WO1991003075A1
WO1991003075A1 PCT/US1990/004746 US9004746W WO9103075A1 WO 1991003075 A1 WO1991003075 A1 WO 1991003075A1 US 9004746 W US9004746 W US 9004746W WO 9103075 A1 WO9103075 A1 WO 9103075A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
substrate
substrate surface
reactive
chamber
Prior art date
Application number
PCT/US1990/004746
Other languages
English (en)
Inventor
Sherman U. Moxness
Robert W. Grant
Original Assignee
Fsi International, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fsi International, Inc. filed Critical Fsi International, Inc.
Publication of WO1991003075A1 publication Critical patent/WO1991003075A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Definitions

  • This invention relates to a reactive gas processing apparatus with a minimally sized substrate chamber for cleaning and processing of a single substrate, such as a wafer used in IC chip manufacture.
  • the substrate-containing chamber is closely sized to the substrate dimensions to provide precise control of processing conditions and also minimal gas usage for effective processing.
  • the reactive gases may be any known cleaning and/or processing gases.
  • the reactive gas is ozone generated in situ by UV irradiation of oxygen, in which embodiment the apparatus of the present invention is provided with a separate UV lamp containing chamber to irradiate the oxygen gas and the substrate surface.
  • the processing and cleaning apparatus of this invention thus uses a substrate confining chamber minimally sized to the substrate dimensions.
  • the reactive gas flow enters the substrate chamber through a diffusing manifold baffle at one side of and behind the exposed substrate surface, flows across the substrate surface in close proximity thereto because of the minimal substrate chamber dimensions, and exits the substrate chamber at the other side of and behind the substrate.
  • the gas entrance and exit means may each be a porous membrane, a slit or an array of perforations parallel with and equal to the substrate diameter.
  • a constriction between the edge of the substrate supporting bed and the substrate chamber cover further provides a gas diffusing nozzle to uniformly spread the reactive gas over the wafer face.
  • the substrate supporting bed may be slightly tilted toward the gas entrance or exit means to provide further control of the gas flow path over the substrate surface.
  • any conventional reactive process gases for processing substrates may be used in the present apparatus.
  • Anhydrous reactive gas in the presence of water vapor such as described in U.S. Patent No. 4,749,440, may be used.
  • the reactive gas used may be ozone generated in situ from UV irradiation of oxygen, as described in U.S. Patent No. 4,028,155 and in John R. Vig, J. Vac. Sci. Techno1.. Vol. A3, No. 3, May-June 1985, pages 1027-1034.
  • the present module is modified to have a UV lamp positioned in the cover above the wafer surface in a gas tight, lamp chamber separate from the substrate chamber.
  • Figure 1 is an exploded view of an apparatus of the present invention containing a UV light source chamber behind a UV transparent window in the cover, with parts separated to show the construction of the cover and base.
  • Figure 2 is a top plan view of the apparatus of Figure 1 with broken lines to show the position of the integral parts of the cover and base.
  • Figure 3 is a profile taken along the line 3-3 in Figure 2 showing the cover and base with a UV light source chamber within the cover and the substrate chamber between the cover and the base.
  • Figure 4 is a profile similar to that of Figure 3 with a solid cover not containing the UV light source.
  • Figure 5 is a profile similar to that of Figure 4 with the substrate supporting means inclining the surface of the substrate toward the gas introducing means. __ . Detailed Description of the Invention
  • FIG 1 is an exploded view of an apparatus 10 of the present invention with parts separated to show the construction of the cover 12 and base 14 and containing a wafer substrate 16.
  • the wafer substrate 16 is illustrated as having arcs cut off therefrom, but may also be a full circle wafer.
  • the assembled cover 12 and base 14 form the substrate chamber.
  • the apparatus 10, as illustrated in Figure 1, contains a UV light source 18 behind a UV transparent window 20, for use with the oxygen-ozone reactive process gas system.
  • the UV light source for use in this invention is a low pressure mercury vapor lamp.
  • a suitable UV lamp has been found to be a UV radiation and ozone generation grid lamp 88-9102-02 from BHK, Inc., Monrovia, CA.
  • the UV lamp 18 is formed with a polished aluminum reflector 22 to ensure that all UV light is directed down to the wafer surface 16.
  • the UV transparent window may be UV transparent sapphire or fused silica.
  • a suitable fused silica is Grade OA available from Corning Glass Advanced Products Div.
  • the cover 12 is constructed with a back plate 24 formed with a recess 26 to accommodate the UV light source 18. Apertures 28 in the back plate 24 are provided for connection of the UV light source 18 to an external power source, not shown.
  • first spacer 30 The lower face of first spacer 30 is provided a vapor tight gasket 34 around the perimeter to confront the UV transparent window 20 and form a vapor tight seal therewith.
  • a second spacer 36 also formed with an opening 38 sized to permit UV light to be directed across the entire exposed surface of the wafer substrate 16, is interposed between the UV transparent window 20 and the face plate 40 of the cover 12.
  • the recess 42 in the second spacer 36 is formed to retainingly support the raised interior perimeter 44 of the back plate 24, the UV light source 18, the first spacer 30 and the UV transparent window 20 therein.
  • the second spacer 36 is also provided with a vapor tight gasket 46 to provide a vapor tight seal with the back plate 24 around the raised interior perimeter 44.
  • the face plate 40 is formed with an opening 48 sized to permit UV light to be directed across the entire exposed surface of the wafer substrate 16, a recess 50 to retainingly support the raised central perimeter 52 of the back plate 24, the UV light source 18, the first spacer 30, the UV transparent window 20 and the second spacer 36 therein.
  • the face plate 40 is provided with a vapor tight gasket 54 to provide a vapor tight seal with the back plate 24 around the raised central perimeter 52. All the aforementioned pieces of the cover 12 interfit together in sandwich-fashion and are held together in vapor tight alignment by - threaded screws 55.
  • the cover 12 forms a vapor tight chamber for the UV lamp 18, separate from the substrate containing chamber, to be described further hereinbelow.
  • the base 14 of the chamber 10 of the present invention is provided with a raised bed 56 formed with a recess 58 to support the wafer substrate with its surface exposed to reactive process gas and to.the UV light, when present in the cover 12.
  • a wafer access channel 60 is formed in the raised bed 56 to provide access to position and remove the wafer substrate 16.
  • Gas inlet 62 and outlet 64 are positioned behind the exposed surface of the substrate wafer 16 and on either side thereof.
  • a pair of parallel lines of holes 66, 68 in gas flow communication with the gas inlet 62 and outlet 64, respectively, are positioned behind the exposed surface of the substrate wafer 16, on either side thereof, and equal in length to the diameter of the substrate wafer 16.
  • the raised bed 56 and the gas lines of holes 66, 68 are positioned and sized to fit within the recess 48 of the face plate 40.
  • gas tight flow communication allows introduced gas to flow through inlet 62 and line of holes 66 over the wafer 16 surface and out through outlet 64 and line of holes.
  • the purpose of the pair of parallel lines of holes 66, 68 is to each form a baffle manifold to ensure uniform distribution of the process gas over the wafer surface.
  • these baffle manifolds may be a pair of slits parallel with and having a length equal to the substrate diameter, or may be gas porous media, such as gas porous PVDF or Teflon®, available from Porex, Atlanta, GA or Millipore, Bedford, MA.
  • the gas inlet 62 and outlet 64 may, instead of being each a single port, also each be a baffle manifold to further enhance uniform gas distribution. It is important to proper cleaning of the wafer substrate surface to insure that the path of travel over the wafer is as uniform as possible. It is thus also important that, where the gas travels over the_.full diameter of the wafer, more gas is available for surface treatment, than where the gas travels over only the edges of the wafer.
  • the gas introducing and exit means can be formed to supply more gas to the wafer middle, as by making the gas slits or gas holes slightly larger in that area.
  • a constriction 83, 85, 87, respectively formed between the raised wafer supporting bed 56, 89, 96, respectively, and the adjacent spacer 36, 78 respectively provides a nozzle effect to further ensure uniform distribution of the gas over the wafer surface.
  • the substrate chamber is dimensioned for minimal non-contact with the substrate.
  • the window 20 should have a minimum clearance from the substrate surface of about 0.050 inch, preferably about 0.125 inch from the substrate surface to a maximum clearance of about two times the wafer thickness.
  • the substrate chamber may be generally rectangular, having a length from the gas introducing means to the gas exit means across the wafer surface and a width at right angles to the length across the wafer surface.
  • the length will generally be about 1.25 times the wafer diameter or about 2 inches or less on either side of the wafer, for 4-8 inch diameter wafers.
  • the width will generally be about 1.05 times the wafer diameter or between about 3/32 inch to l * ess than one inch on either side of the wafer, for 4-8 inch diameter wafers. Since it is important to have the chamber be as small as possible to maintain an even flow pattern, the dimensions of the substrate chamber are sized to " specific wafer dimensions.
  • Figure 2 is a top plan view of the apparatus 10 of Figure 1 with broken lines to show the position of the integral parts of the cover 12 and base 14 assembled in gas tight flow communication.
  • Figure 3 is a profile of the chamber 10 taken along the line 3-3 in Figure 2 showing the cover 12 and base 14 with a UV light source 18 within the cover 12.
  • Figure 4 is a profile of an alternate apparatus 72 according to the present invention similar to that of Figure 3, but with a cover 74 omitting the UV light source.
  • the cover 74 is formed with the back plate 76, spacer 78, window 80 and face plate 82.
  • Back plate 76 is similar to back plate 24, with the omission of apertures 28 for UV light power source.
  • Spacer 78 is similar to second spacer 36, with its dimensions sized to accommodate for the absence of the UV light source 18, the first spacer 30 and the UV transparent window 20.
  • Window 80 is similar to UV transparent window 20, except that it need not be of UV transparent material.
  • Face plate 82 is similar to face plate 40.
  • the apparatus 72 as illustrated in Figure 4 has the same base 14 as illustrated and described with reference to Figures 1 and 2.
  • FIG. 5 is a profile of another alternate chamber 84 according to the present invention similar to that of Figure 4, illustrated as having the cover 74 as described in Figure 4 with an alternate base 86, wherein the substrate supporting means inclines the surface of the substrate 16 toward the gas inlet 88.
  • this alternate base 86 may also be used with the UV light containing cover 12.
  • Gas inlet 88 and outlet 90 are positioned behind the exposed surface of the substrate wafer 18 and on either side thereof, in the manner as previously described for base 14 with reference to Figures 1 - 4.
  • a pair of parallel slits 92, 94 in gas flow communication with the gas inlet 88 and outlet 90, respectively, are positioned behind the exposed surface of the substrate wafer 16, on either side thereof, and equal in length to the diameter of the substrate wafer 16.
  • the inclined raised bed 96 supports the substrate wafer within the wafer substrate recess 98, so that the exposed surface of the substrate 16 is inclined toward the gas inlet
  • gas tight flow communication allows introduced gas to flow through gas inlet 88 and slit 92 over the wafer 16 surface and out through gas outlet 90 and slit 94.
  • the materials of the apparatus are chosen to be inert to the reactive cleaning gases and to the UV light, when used.- They may suitably be formed of PVDF or an acid resistant base material coated with silicon carbide or silicon nitride.
  • Either cover 12 or 74 will interfit with either base 14 or 86.
  • the inlet 66, 92 and outlet gas slits 68, 94 may alternatively each be a line of holes parallel with each other, respectively, and equal to the substrate diameter or a gas porous media.
  • the cover 12 as described herein above with reference to Figures 1, 2 and 3, is interfitted with either of the bases 14 or 86, as described herein above with reference to any of the figures, containing a substrate wafer 16.
  • the cover 12 is maintained in gas tight sealed alignment with the base by any suitable means, such as by screw fitting the cover to the base or by exerting steady even pressure, as by a pneumatic press.
  • the base may use either the parallel pair of gas slits or the pair of lines of holes parallel with each other, or a gas porous media as described herein above.
  • Oxygen gas is introduced into the chamber over the surface of the substrate. It is important that the oxygen be a pure oxygen source uncontaminated by impurities normally found in air, such as nitrogen, sulfur, or their oxides. In order to assist the cleaning effect of the ozone, the introduced oxygen may be enriched with up to 10% ozone.
  • the ultraviolet lamp is activated to irradiate through the UV transparent window onto the substrate surface.
  • the ultraviolet light contains both 185 nm and 254 nm frequency wavelengths.
  • the 185 nm frequency ultraviolet light generates the oxygen into ozone to react with and vaporize organic contaminants on the substrate surface.
  • the 254 nm frequency ultraviolet light then degenerates the ozone to oxygen, and the gases and reaction products are removed from the chamber through the exit means.
  • Operation of the chamber with oxygen/ozone processing gas can be at temperatures of about 40° to 70° C. Slightly elevated temperatures have been found to maximize UV output, with 185 nm radiation maximizing at about 70°C and 254 nm radiation maximizing at about 40°-50°C. Providing a separate chamber for the UV lamp allows precise control of the UV lamp operating temperature to maximize the desired output frequency and also prolongs the life of the lamp by separating it from the process gas environment. In - li ⁇
  • a heating element may be provided under the wafer support bed to heat the wafer to elevated temperatures as high as 250° C or even 600° to 700° C to increase the processing and decrease the time to a few seconds.
  • the UV light containing apparatus may also be used with other reactive gas processing mixtures, such as those containing ammonia or nitric acid.
  • the method of the present invention is described as follows.
  • the alternate cover 74 as described herein above with reference to Figures 4 and 5, is interfitted with either of the bases 14 or 86, as described herein above with reference to any of the Figures, containing a substrate wafer in the substrate bed. Again, the cover 74 is maintained in gas tight sealed alignment with the base by any suitable means, as described herein above.
  • Reactive processing gas is introduced into the chamber to flow over the exposed surface of the substrate.
  • the reactive processing gas may be anhydrous hydrogen fluoride in the presence of water vapor, according to the process described in U. S. Patent No. 4,749,440, which is hereby specifically incorporated by reference to describe the various necessary reaction parameters. Any other processing gas procedures as described therein or any other reactive gas processing procedures commonly used in processing wafer substrates to be used in the manufacture of IC chips may also be carried out in this chamber.

Abstract

Chambre de traitement au gaz réactif pour substrats en tranche (16) du type à utiliser dans la fabrication de puces à circuit intégré. Le couvercle (12) peut comporter une source (18) de lumière ultraviolette destinée à irradier la tranche (16) ainsi que des gaz réactifs à travers une fenêtre transparente aux UV (20). La base (14) assure une configuration de flux gazeux spécifique des gaz de traitement réactifs sur la surface exposée de ladite tranche (16) afin de maximiser le contact du gaz avec ladite tranche (16), ainsi que l'efficacité et l'intégralité de la technique de traitement.
PCT/US1990/004746 1989-08-21 1990-08-21 Module de traitement de substrat au gaz WO1991003075A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39623589A 1989-08-21 1989-08-21
US396,235 1989-08-21

Publications (1)

Publication Number Publication Date
WO1991003075A1 true WO1991003075A1 (fr) 1991-03-07

Family

ID=23566417

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1990/004746 WO1991003075A1 (fr) 1989-08-21 1990-08-21 Module de traitement de substrat au gaz

Country Status (1)

Country Link
WO (1) WO1991003075A1 (fr)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0553967A1 (fr) * 1992-01-15 1993-08-04 Submicron Systems, Inc. Méthode de nettoyage par voie sèche de substrats semiconducteurs et appareillage utilisant une source lumineuse
EP0696653A1 (fr) * 1994-07-15 1996-02-14 Shin-Etsu Handotai Company Limited Procédé et dispositif de dépÔt chimique en phase vapeur
EP0697715A1 (fr) * 1994-08-18 1996-02-21 FSI International Nettoyage à sec de films de silicium nitride, intensifié par rayons ultra-violets
WO1996019825A1 (fr) * 1994-12-21 1996-06-27 Fsi International Appareil de conditionnement de surface
US5669979A (en) * 1993-09-08 1997-09-23 Uvtech Systems, Inc. Photoreactive surface processing
US5814156A (en) * 1993-09-08 1998-09-29 Uvtech Systems Inc. Photoreactive surface cleaning
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
US5967156A (en) * 1994-11-07 1999-10-19 Krytek Corporation Processing a surface
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6465374B1 (en) 1997-10-21 2002-10-15 Fsi International, Inc. Method of surface preparation
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
WO2017015277A1 (fr) * 2015-07-21 2017-01-26 Sensor Electronic Technology, Inc. Réacteur à tranches multiples

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5275177A (en) * 1975-12-18 1977-06-23 Matsushita Electric Ind Co Ltd Vapor growth device
US4207137A (en) * 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
JPS59112613A (ja) * 1982-12-17 1984-06-29 Matsushita Electric Ind Co Ltd 気相成長装置
US4533410A (en) * 1982-10-19 1985-08-06 Matsushita Electric Industrial Co., Ltd. Process of vapor phase epitaxy of compound semiconductors
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS6298730A (ja) * 1985-10-25 1987-05-08 Toshiba Corp 紫外線照射装置
JPS62115723A (ja) * 1985-11-15 1987-05-27 Nec Corp 半導体製造装置
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5275177A (en) * 1975-12-18 1977-06-23 Matsushita Electric Ind Co Ltd Vapor growth device
US4207137A (en) * 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
US4533410A (en) * 1982-10-19 1985-08-06 Matsushita Electric Industrial Co., Ltd. Process of vapor phase epitaxy of compound semiconductors
JPS59112613A (ja) * 1982-12-17 1984-06-29 Matsushita Electric Ind Co Ltd 気相成長装置
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS6298730A (ja) * 1985-10-25 1987-05-08 Toshiba Corp 紫外線照射装置
JPS62115723A (ja) * 1985-11-15 1987-05-27 Nec Corp 半導体製造装置
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JOURNAL VACUUM SCIENCE TECHNOLOGY, Vol. A3, No. 3, May-June 1985, pp. 1027-1034. *

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0553967A1 (fr) * 1992-01-15 1993-08-04 Submicron Systems, Inc. Méthode de nettoyage par voie sèche de substrats semiconducteurs et appareillage utilisant une source lumineuse
US5669979A (en) * 1993-09-08 1997-09-23 Uvtech Systems, Inc. Photoreactive surface processing
US5814156A (en) * 1993-09-08 1998-09-29 Uvtech Systems Inc. Photoreactive surface cleaning
US5534107A (en) * 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
EP0696653A1 (fr) * 1994-07-15 1996-02-14 Shin-Etsu Handotai Company Limited Procédé et dispositif de dépÔt chimique en phase vapeur
US6254933B1 (en) 1994-07-15 2001-07-03 Shin-Etsu Handotai, Ltd. Method of chemical vapor deposition
US5749974A (en) * 1994-07-15 1998-05-12 Shin-Etsu Handotai Co., Ltd. Method of chemical vapor deposition and reactor therefor
EP0697715A1 (fr) * 1994-08-18 1996-02-21 FSI International Nettoyage à sec de films de silicium nitride, intensifié par rayons ultra-violets
US5967156A (en) * 1994-11-07 1999-10-19 Krytek Corporation Processing a surface
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
US6203406B1 (en) 1994-11-07 2001-03-20 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
WO1996019825A1 (fr) * 1994-12-21 1996-06-27 Fsi International Appareil de conditionnement de surface
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6287413B1 (en) 1997-10-21 2001-09-11 Fsi International, Inc. Apparatus for processing both sides of a microelectronic device precursor
US6465374B1 (en) 1997-10-21 2002-10-15 Fsi International, Inc. Method of surface preparation
US6663792B2 (en) 1997-10-21 2003-12-16 Fsi International, Inc. Equipment for UV wafer heating and photochemistry
WO2017015277A1 (fr) * 2015-07-21 2017-01-26 Sensor Electronic Technology, Inc. Réacteur à tranches multiples

Similar Documents

Publication Publication Date Title
WO1991003075A1 (fr) Module de traitement de substrat au gaz
US4885047A (en) Apparatus for photoresist stripping
US6015503A (en) Method and apparatus for surface conditioning
EP0553967A1 (fr) Méthode de nettoyage par voie sèche de substrats semiconducteurs et appareillage utilisant une source lumineuse
JPH0621026A (ja) 密閉された光化学反応容器内における酸化膜のエッチング方法
US4741800A (en) Etching method for the manufacture of a semiconductor integrated circuit
US9616469B2 (en) Light projection device
US6143477A (en) Dual wavelength UV lamp reactor and method for cleaning/ashing semiconductor wafers
JPH05175135A (ja) 光cvd装置
JPH0628254B2 (ja) フオトレジストの剥離装置
JPS6442129A (en) Cleaning and removal of organic substance
JP3663674B2 (ja) 紫外線処理装置
JPS59161824A (ja) 光照射装置
JP2966419B2 (ja) 有機物除去装置及び有機物除去方法
US4842828A (en) Apparatus for treating surface of object with ultraviolet rays and reaction gas
JPH05198512A (ja) 光cvd装置
JPH01261827A (ja) 光アツシヤー
JP2932275B2 (ja) 有機物除去装置
JPS6450426A (en) Surface treatment
JPH0684843A (ja) 表面処理装置
JPH08236492A (ja) 光洗浄方法
JP2000225337A (ja) 紫外線処理方法
JPS63168027A (ja) 膜形成装置
JPH01261831A (ja) 光アツシヤー
JPH0831721A (ja) 有機物除去装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB IT LU NL SE