US20190109036A1 - Spring-Loaded Pins For Susceptor Assembly And Processing Methods Using Same - Google Patents

Spring-Loaded Pins For Susceptor Assembly And Processing Methods Using Same Download PDF

Info

Publication number
US20190109036A1
US20190109036A1 US16/208,887 US201816208887A US2019109036A1 US 20190109036 A1 US20190109036 A1 US 20190109036A1 US 201816208887 A US201816208887 A US 201816208887A US 2019109036 A1 US2019109036 A1 US 2019109036A1
Authority
US
United States
Prior art keywords
recess
sleeve
wafer
pin
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/208,887
Inventor
Joseph Yudovsky
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US16/208,887 priority Critical patent/US20190109036A1/en
Publication of US20190109036A1 publication Critical patent/US20190109036A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YUDOVSKY, JOSEPH
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices

Definitions

  • Embodiments of the invention generally relate to apparatus and methods of holding a substrate during processing.
  • embodiments of the invention are directed to lift pins for loading and unloading wafers from a processing chamber and methods of use.
  • the substrates also referred to herein as wafers
  • the substrates move relative to the precursor injector and heater assembly. If the motion creates acceleration forces larger than that of the frictional force, the wafer can become displaced causing damage or related issues.
  • the wafers placed off-axis can slip at high acceleration/deceleration on a moving/rotating susceptor. Friction from the weight of the wafer itself is insufficient to hold the wafer on tools where higher throughput is sought.
  • vacuum chucking may be incorporated into susceptor to provide negative pressure to the bottom surface of a wafer.
  • wafers In single wafer processing chambers, wafers often preheat while resting on lift pins before being loaded into the susceptor recess.
  • the preheating occurs at a loading position because the susceptor rotates. This process takes time and results in delays in indexing and loading of the next wafer.
  • the susceptor of a carousel processing chamber has to stop rotation for an extended period of time to load each wafer. This delay in processing is cumulative and can result in large amounts of lost time.
  • the susceptor assembly comprises a susceptor having a susceptor body and a top surface with at least one recess therein. Each recess has a bottom surface. At least three lift pins are positioned within each recess. Each lift pin comprises a sleeve, a spring and a pin.
  • the sleeve has an elongate body with a top end, bottom, sides and an elongate axis and is positioned within an opening in the bottom surface of the recess.
  • the spring is positioned within the elongate body of the sleeve adjacent to the bottom of the sleeve.
  • the pin is positioned within the elongate sleeve in contact with the spring.
  • the pin is movable along the elongate axis of the sleeve so that a top surface of the pin can extend above the top end of the sleeve.
  • the susceptor assembly comprises a susceptor with a susceptor body and a top surface. There is at least one recess in the top surface. Each recess has a bottom surface. At least three lift pins are positioned within each recess. Each lift pin comprises a cylindrical sleeve, a spring and a cylindrical pin.
  • the cylindrical sleeve has an elongate body with a top end, bottom, sides and an elongate axis and is positioned within an opening in bottom surface of the recess.
  • the spring comprises a high temperature material and is positioned within the elongate body of the cylindrical sleeve adjacent the bottom of the cylindrical sleeve.
  • the cylindrical pin is positioned within the elongate cylindrical sleeve in contact with the spring.
  • the cylindrical pin has an outer diameter that is smaller than in inner diameter of the elongate cylindrical sleeve.
  • the cylindrical pin is movable along the elongate axis of the cylindrical sleeve so that a top surface of the cylindrical pin can extend above the top end of the cylindrical sleeve.
  • the spring has a spring constant sufficient to allow a wafer resting on the cylindrical pin to be elevated when no vacuum is applied and to contract so that the wafer rests on the bottom surface of the recess when a vacuum is applied.
  • a vacuum source is in fluid communication with the recess in the susceptor.
  • a susceptor assembly comprising a plurality of recesses is rotated to position a recess adjacent a loading area of a processing chamber.
  • a wafer is positioned on at least three lift pins positioned within the recess.
  • Each lift pin comprises an elongate sleeve with a spring and a pin therein so that the pin rests on the spring so that without applying a vacuum to the recess, the wafer is elevated above a bottom surface of the recess.
  • the susceptor assembly is repeatedly rotated to position a recess adjacent the loading area and wafers are repeatedly positioned onto at least three lift pins in the recess until a predetermined number of wafers have been loaded into the processing chamber.
  • a vacuum is applied to the plurality of recesses to compress the springs so that each of the wafers is lowered to rest on the bottom surface of the recess.
  • FIG. 1 shows partial cross-sectional view of a processing chamber in accordance with one or more embodiment of the disclosure.
  • FIG. 2 shows a view of a portion of a gas distribution assembly in accordance with one or more embodiment of the disclosure
  • FIG. 3 shows a partial cross-sectional view of a processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 4 shows a perspective view of a recess in a susceptor assembly with vacuum passages visible in accordance with one or more embodiment of the disclosure
  • FIG. 5 shows a cross-sectional perspective view of a susceptor assembly in accordance with one or more embodiment of the disclosure
  • FIG. 6 shows a partial cross-sectional view of a susceptor assembly in accordance with one or more embodiment of the disclosure
  • FIG. 7 shows a cross-sectional view of a lift pin in accordance with one or more embodiment of the disclosure
  • FIGS. 8A and 8B show cross-sectional views of the lift pin taken along plane 8 of FIG. 7 ;
  • FIG. 9 shows a cross-sectional view of a susceptor assembly with lift pins in accordance with one or more embodiment of the disclosure.
  • FIG. 10 shows a schematic view of a processing chamber in accordance with one or more embodiments of the disclosure.
  • FIGS. 11A through 11C show partial cross-sectional views of a susceptor assembly and process sequence in accordance with one or more embodiments of the disclosure.
  • Embodiments of the invention provide methods and apparatus capable of keeping a wafer in position during processing to prevent accidental damage to the wafer and hardware.
  • Specific embodiments of the invention are directed to apparatus and methods for creating a differential pressure developed from a unique precursor injector design with a magnitude sufficient to hold wafers in place at high rotation speeds.
  • the terms “wafer”, “substrate” and the like are used interchangeably.
  • the wafer is a rigid, discrete substrate.
  • the precursors used for deposition are injected in close proximity to the wafer surface.
  • the injector channels are independently controlled at a higher pressure than the surrounding chamber.
  • differential pressure is used to hold substrates (wafers) on a susceptor under large acceleration forces.
  • the large acceleration forces occur as a result of high rotation speeds, which may be experienced in carousel-type processing chambers, from larger batch sizes and processing speeds or higher reciprocating motion for higher wafer throughput.
  • the wafers sit in shallow pockets on a susceptor below the injector assemblies.
  • the susceptor can provide heat transfer, improved gas dynamics and act as a carrier vehicle for the substrates.
  • susceptors may include an angled hole for vacuum from inner diameter of susceptor-bottom up to the wafer pocket.
  • the susceptor can get a vacuum source through the rotation shaft and rotation motor below the shaft.
  • Some embodiments of the disclosure are directed to susceptors with pins that hold a wafer at a preheat distance after the wafer moves from the wafer exchange position.
  • a susceptor with a vacuum chuck it is possible to use spring-loaded pins. The spring stiffness is sufficient to hold the wafer weight, but will collapse when the vacuum chuck is engaged.
  • the lift pins have two parts with a spring between them.
  • the external pin (sleeve) slides in the pin hold in the susceptor.
  • the inner pin sits inside of the external pin (sleeve).
  • the pin assembly behaves similar to a conventional pin. But when recessed in a pocket, the inner pin sticking provides some gap between the wafer and the susceptor. All the wafers could be loaded without touching the pocket.
  • the vacuum chuck can be engaged and the wafers go into the recesses. The last one or two wafers may be pre-heated outside of the chamber to shorten the loading time.
  • the spring-loaded pins are positioned within a recess in the susceptor assembly. In some embodiments, the spring-loaded pins are not positioned in a recessed portion of the susceptor.
  • FIG. 1 shows a portion of a processing chamber 100 in accordance with one or more embodiments of the invention.
  • the processing chamber 100 includes at least one gas distribution assembly 110 to distribute the reactive gases to the chamber.
  • the embodiment shown in FIG. 1 has a single gas distribution assembly 110 , but those skilled in the art will understand that there can be any suitable number of gas distribution assemblies. There can be multiple assemblies with spaces between each assembly, or with practically no space between. For example, in some embodiments, there are multiple gas distribution assemblies 110 positioned next to each other so that the wafer 120 effectively sees a consistent repetition of gas streams.
  • FIG. 1 shows a plurality of substantially parallel gas channels 111 .
  • substantially parallel means that the elongate axis of the gas channels 111 extend in the same general direction. There can be slight imperfections in the parallelism of the gas channels 111 .
  • a carousel-type processing chamber may rotate the wafers about a central axis offset from the central axis of the wafer. In this configuration, gas channels 111 that are not substantially parallel may be useful. Referring to FIG.
  • the gas distribution assembly 110 may be a pie-shaped segment in which the gas channels 111 extend from in inner edge 115 of the pie-shape toward the outer edge 116 of the pie-shape.
  • the shape of the gas channels 111 can also vary.
  • the gas channels 111 have a substantially uniform width along the length of the channel extending from the inner edge 115 to the outer edge 116 .
  • the width of the gas channel 111 increases along the length of the channel extending from the inner edge 115 to the outer edge 116 . This is shown in FIG. 2 , where the gas channels 111 have a smaller width at the inner edge 115 and a wider width at the outer edge 116 .
  • the aspect ratio of this change in width may be equal to the radial difference in position so that the edges of each channel extend from the same point. This may result in all point of a wafer passing beneath the gas channels having about equal residence time. Stated differently, each channel width may change as a function of the distance from center of the susceptor rotation.
  • the plurality of gas channels 111 can include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel and/or at least one vacuum V channel.
  • the gases flowing from the first reactive gas A channel(s), the second reactive gas B channel(s) and the purge gas P channel(s) are directed toward the top surface 121 of the wafer 120 . This flow is shown with arrows 112 . Some of the gas flow moves horizontally across the surface 121 of the wafer 120 , up and out of the processing region through the purge gas P channel(s), shown with arrows 113 .
  • a substrate moving from the left to the right will be exposed to each of the process gases in turn, thereby forming a layer on the substrate surface.
  • the substrate can be in a single wafer processing system in which the substrate is moved in a reciprocating motion beneath the gas distribution assembly, or on a carousel-type system in which one or more substrates are rotated about a central axis passing under the gas channels.
  • a susceptor assembly 130 is positioned beneath the gas distribution assembly 110 .
  • the susceptor assembly 130 includes a top surface 131 , a bottom surface 132 and at least one recess 133 in the top surface 131 .
  • the recess 133 can be any suitable shape and size depending on the shape and size of the wafers 120 being processed.
  • the recess 133 has two step regions 134 around the outer peripheral edge of the recess 133 . These steps 134 can be sized to support the outer peripheral edge 122 of the wafer 120 .
  • the amount of the outer peripheral edge 122 of the wafer 120 that is supported by the steps 134 can vary depending on, for example, the thickness of the wafer and the presence of features already on the back side 123 of the wafer.
  • the recess 133 in the top surface 131 of the susceptor assembly 130 is sized so that a wafer 120 supported in the recess 133 has a top surface 121 substantially coplanar with the top surface 131 of the susceptor assembly 130 .
  • substantially coplanar means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar within ⁇ 0.2 mm. In some embodiments, the top surfaces are coplanar within ⁇ 0.15 mm, ⁇ 0.10 mm or ⁇ 0.05 mm.
  • the bottom 135 of the recess has at least one passage 140 extending through the susceptor assembly 130 to the drive shaft 160 of the susceptor assembly 130 .
  • the passage(s) 140 can be any suitable shape and size and forms a fluid communication between the recess 133 and the drive shaft 160 .
  • the drive shaft 160 can be connected to a vacuum source 165 which forms a region of decreased pressure (referred to as a vacuum) within a cavity 161 of the drive shaft 160 .
  • a vacuum As used in this specification and the appended claims, the term “vacuum” used in this context means a region having a lower pressure than the pressure of the processing chamber. There is no need for there to be an absolute vacuum.
  • the vacuum, or region of decreased pressure has a pressure less than about 50 Torr, or less than about 40 Torr, or less than about 30 Torr, or less than about 20, Torr, or less than about 10 Torr, or less than about 5 Torr, or less than about 1 Torr, or less than about 100 mTorr, or less than about 10 mTorr.
  • the cavity 161 can act as a vacuum plenum so that if there is a loss of external vacuum, the vacuum within the cavity 161 can remain at decreased pressure.
  • the passage 140 is in communication with the cavity 161 so that the vacuum within the cavity 161 can draw on the back side 123 of the wafer 120 through the passage 140 .
  • the pressure in the reaction region 102 above the wafer 120 is greater than the pressure in the recess 133 .
  • This pressure differential provides sufficient force to prevent the wafer 120 from moving during processing.
  • the pressure in the recess 133 below the wafer 120 is lower than the pressure above the wafer 120 and the pressure in the processing chamber 100 .
  • the pressure applied to the top surface 121 of the wafer 120 from the gas streams emitted by the gas distribution assembly 110 may help hold the wafer in place. This may be of particular use in carousel-type processing chambers in which the wafers are offset from and rotated about a central axis.
  • the centrifugal force associated with the rotation of the susceptor assembly can cause the wafer to slide away from the central axis.
  • the gas channels of the gas distribution assembly can be controlled simultaneously (e.g., all of the output channels—reactive gases and purge channels—controlled together), in groups (e.g., all of the first reactive gas channels controlled together) or independently (e.g., the left-most channel controlled separately from the adjacent channel, etc.).
  • output channels gas channels
  • gas injectors gas injectors
  • the term “output channels” “gas channels”, “gas injectors” and the like are used interchangeably to mean a slot, channel or nozzle type opening through which a gas is injected into the processing chamber.
  • the first reactive gas channel, the second reactive gas channel and the at least one purge gas channel are independently controlled.
  • each individual first reactive gas injector, second reactive gas injector, purge gas injector and pump channel can be individually and independently controlled.
  • the pressure differential between the top surface of the wafer and the bottom surface of the wafer can be adjusted by changing, for example, the pressure of the gases from the gas distribution assembly, the flow rate of the gases from the gas distribution assembly, the distance between the gas distribution assembly and the wafer or susceptor surface and the vacuum pressure applied beneath the wafer.
  • the differential pressure is a measure of the pressure above the wafer vs. the pressure below the wafer.
  • the pressure above the wafer is the pressure applied to the wafer surface or the pressure in the reaction region 102 of the processing chamber 100 .
  • the pressure below the wafer is the pressure in the recess, the pressure on the bottom surface of the vacuum pressure in the susceptor assembly 130 .
  • the magnitude of the pressure differential can directly affect the degree to which the wafer is chucked.
  • the pressure differential between the top surface 121 of the wafer 120 and the bottom surface 123 of a wafer 120 is greater than about 15 torr, or greater than about 10 torr, or greater than about 5 torr.
  • the differential pressure between the top surface 121 of the wafer 120 and the pressure in the recess 133 equates to a chucking force large enough to hold a 300 mm wafer at a bolt center radius of about 320 mm at a rotational speed of about 200 rpm.
  • the processing chamber 100 includes a heating assembly 150 .
  • the heating assembly can be positioned in any suitable location within the processing chamber including, but not limited to, below the susceptor assembly 130 and/or on the opposite side of the susceptor assembly 130 than the gas distribution assembly 110 .
  • the heating assembly 150 provides sufficient heat to the processing chamber to elevate the temperature of the wafer 120 to temperatures useful in the process.
  • Suitable heating assemblies include, but are not limited to, resistive heaters and radiant heaters (e.g., a plurality of lamps) which direct radiant energy toward the bottom surface of the susceptor assembly 130 .
  • the heating assembly 150 of some embodiments, heats the susceptor, which in turn heats the wafers.
  • the distance between the gas distribution assembly 110 and the top surface 121 of the wafer 120 can be tuned and may have an impact on the pressure differential and the efficiency of the gas flows from the gas distribution assembly. If the distance is too large, the gas flows could diffuse outward before encountering the surface of the wafer, resulting in a lower pressure differential and less efficient atomic layer deposition reaction. If the distance is too small, the gas flows may not be able to flow across the surface to the vacuum ports of the gas distribution assembly and may result in a large pressure differential.
  • the gap between the surface of the wafer and the gas distribution assembly is in the range of about 0.5 mm to about 2.0 mm, or in the range of about 0.7 mm to about 1.5 mm, or in the range of about 0.9 mm to about 1.1 mm, or about 1.0 mm.
  • the recess 133 shown in FIG. 1 supports the wafer 120 about an outer peripheral edge 122 of the wafer 120 .
  • this arrangement can result in the successful chucking of the wafer, thereby preventing movement of the wafer during rotation or movement of the susceptor assembly 130 .
  • the wafer 120 may deflect so that the center portion of the wafer is further away from the gas distribution assembly 110 than the outer peripheral edge 122 of the wafer 120 .
  • FIG. 3 shows another embodiment which helps prevent deflection of the wafer by providing a greater support surface area.
  • the wafer 120 is supported across a majority of the back surface 123 by the susceptor 130 .
  • This Figure shows a cross-section of the susceptor assembly.
  • the center portion 137 of the susceptor assembly 130 is not free floating, but is connected to the remainder of the susceptor in a different plane than the cross-sectional view.
  • the passage 140 extends from the drive shaft 160 , or from a cavity 161 within the drive shaft 160 toward the recess 133 .
  • the passage 140 connects to a channel 146 which extends toward the top surface 131 of the susceptor assembly 130 .
  • the vacuum chucks the wafer 120 to the susceptor assembly 130 by the vacuum through the channel 146 and passage 140 .
  • FIG. 4 shows a perspective view of a susceptor assembly 130 similar to that of FIG. 3 .
  • the susceptor assembly 130 shown has a recess 133 with a relatively large step 134 to support the outer peripheral edge 122 of the wafer (not shown).
  • the recess 133 includes a large passage 140 connects the channel 146 to the vacuum in the drive shaft.
  • the channel shown is shaped like a capital theta, providing a channel ring with a channel portion extending across the diameter of the ring.
  • the center portion 137 of the susceptor assembly 130 is about coplanar with the step 134 so that the center portion 137 and the step 134 support the wafer at the same time.
  • FIG. 5 shows a perspective view of a susceptor assembly 130 in accordance with one or more embodiments of the invention.
  • the passage 140 extends from drive shaft 160 toward the recess 133 connecting the cavity 161 , which acts as a vacuum plenum, with the channel 146 in the recess.
  • the passage 140 has a plurality of holes 147 connecting the top surface 131 of the susceptor assembly 130 with the passage 140 .
  • These holes 147 can be created (e.g., drilled) during the manufacture of the susceptor assembly to allow the inside of the passage 140 to be coated.
  • the susceptor assembly 130 has a silicon carbide coating.
  • the susceptor assembly of some embodiments comprises silicon carbide coated graphite.
  • the holes 147 allow the silicon carbide to be coated on the passage 140 and are then sealed with plugs 148 .
  • the plugs can be made of any suitable material including, but not limited to, silicon carbide, silicon carbide coated graphite, a material with a silicon carbide coating and graphite.
  • the susceptor assembly can be coated with silicon carbide again to provide an additional sealing of the holes 147 .
  • the plugs 148 can be press-fit (e.g., friction fit), connected to the holes 147 by complementary screw threads or connected by some other mechanical connection (e.g., epoxy).
  • the holes 147 provide a useful passageway for the silicon carbide to coat the passage 140 .
  • the size and spacing of the holes 147 may have an impact on the efficiency of the coating.
  • the holes 147 can be spaced in increments of the hole diameter. For example, if the holes are 5 mm in diameter, the spacing might be 5 ⁇ mm, with x being any suitable value. For example, the spacing may be 1, 2, 3, 4, 5, 6, 7, 8, 9 or 10 times the hole diameter.
  • the holes 147 can be positioned at any suitable points along the length of the passage 140 , and can but do not need to be evenly distributed across the passage 140 length. As shown in FIG. 5 , the holes 147 are concentrated toward the inner portion of the susceptor assembly 130 , where the passage 140 is furthest from the top surface 131 of the susceptor assembly 130 .
  • the passages 140 can be used to supply a vacuum to the recess 133 to chuck the wafer 120 .
  • the vacuum may be too strong to easily remove the processed wafer from the recess.
  • the passages 140 can also be used to provide a flow of gas toward the back side of the wafer 120 .
  • FIG. 6 shows a schematic view of a susceptor assembly in accordance with one or more embodiments of the invention.
  • the recess 133 is connected to the passage 140 which leads to the cavity 161 within the drive shaft.
  • a valve 171 is positioned within the passage 140 .
  • the valve 171 can allow a fluid connection between the passage 140 and the cavity 161 through connector 141 . If a vacuum, or region of decreased pressure, is formed in the cavity 161 , then the valve can connect the cavity 161 to the recess 133 through the connector 141 and passage 140 . The valve 171 can be switched to break the fluid connection between the passage 140 and the cavity 161 .
  • the valve can be set to a closed position, isolating passage 140 , or the valve can be set to a position where a connection is formed between passage 140 and a dechucking gas plenum 173 through connector 142 .
  • the dechucking gas plenum 173 is shown in fluid communication with a dechucking gas source 175 .
  • the dechucking gas source 175 can comprise any suitable gas including, but not limited to, nitrogen, argon, helium or an inert gas.
  • the vacuum source 165 can be connected to the cavity 161 through valve 162 .
  • the valve 162 can be used to isolate the cavity 161 from the vacuum source 165 in the event that there is a loss of vacuum from the vacuum source 165 . This allows the cavity 161 to act as a vacuum plenum so that the wafers on the susceptor assembly remain chucked until while the vacuum source is being reconnected or repaired.
  • Each of the individual recesses 133 in the susceptor assembly 130 can include a separate passage 140 and valve 171 . This allows each individual recess 133 to be isolated from the vacuum in the cavity 161 .
  • a processed wafer 120 can be rotated to the loading/unloading area of the processing chamber.
  • the valve 171 can be closed or switched to the dechucking gas plenum 173 to cause a positive pressure on the back side of the wafer, allowing a robot to pick up the wafer. After picking up the wafer, the valve can close so that the pressure in the recess 133 will be equal to the pressure of the chamber.
  • a new wafer can be placed in the recess and the valve 171 switched back to allow fluid connection with the cavity 161 to chuck the new wafer.
  • the susceptor assembly has a susceptor body 230 and a top surface 235 . There is at least one recess 133 in the susceptor body 230 extending from the top surface into the susceptor body. Each recess 131 has a bottom 135 .
  • the lift pins 200 can be at least three lift pins 200 positioned within holes in each the top surface 235 of the susceptor or in a recess 131 in the susceptor body 230 .
  • the lift pins 200 are capable of supporting a planar piece, like a semiconductor wafer 120 , and moving the planar piece closer to and further away from the susceptor body 230 .
  • FIG. 9 shows only two lift pins 200 because the at least one additional lift pin 200 is not within the cross-section shown.
  • Each lift pin 200 comprises a sleeve 210 , a spring 220 and a pin 240 .
  • the sleeve 210 has an elongate body 215 with a top end 211 , a bottom 212 and sides 213 .
  • the top end 211 of the sleeve 210 shown is open to allow the pin 240 , or other components, to move into and out of the sleeve 210 .
  • the bottom 212 of the sleeve 210 can be completely solid or can have openings.
  • the elongate axis 214 of the sleeve 210 extends through the bottom 212 and the open top end 211 .
  • the sleeve 210 can be any suitable shape.
  • the sleeve 210 can be circular, rectangular, pentagonal, hexagonal, heptagonal or octagonal.
  • the cross-sectional shape can be regular or irregular.
  • the cross-sectional shape of the sleeve is circular.
  • the shape of the sleeve refers to the overall impression of the shape when viewed from the side.
  • the sleeve shown in FIG. 7 is considered circular even though the top portion adjacent the open top end 211 flares outwardly.
  • the overall shape, or general shape, of the sleeve 210 and pin 240 are determined without considering a change in diameter or shape adjacent the top, or contact, portion of the pin or sleeve.
  • the sleeve 210 can be made out of any suitable material including, but not limited to, ceramic, aluminum nitride, aluminum oxide and stainless steel.
  • a spring 220 is positioned within the elongate body 215 of the sleeve 210 .
  • the spring 220 is positioned adjacent the bottom 212 of the sleeve 210 .
  • the bottom end 221 of the spring 220 contacts the bottom 212 of the sleeve 210 , so there is generally some structure to the bottom 212 of the sleeve 210 rather than being merely an open end.
  • the bottom 212 of the sleeve 210 can be completely solid or can have openings.
  • a pin 240 is positioned within the elongate sleeve 210 in contact with the top end 222 of the spring 220 .
  • the pin 240 is movable along the elongate axis 214 of the sleeve 210 so that a top surface 241 of the pin 240 can extend above the top end 211 of the sleeve 210 .
  • the pin 240 can have the same general shape as that of the sleeve 210 or a different shape that can cooperatively interact with the sleeve.
  • the sleeve and pin may both have generally cylindrical shapes.
  • the sleeve has a generally cylindrical shape and the pin has a rectangular shape.
  • the pin 240 can be made from any suitable material that resists reaction with the processing gases being used in the processing chamber and is stable at the temperature employed in the processing chamber. Suitable materials include, but are not limited to, ceramic, aluminum nitride, aluminum oxide and stainless steel.
  • the top surface 241 of the pin 240 can be made of the same material as the pin 240 or can be a different material. For example, the top surface 241 may have a coating or piece of a soft material that can safely contact the wafers without damaging or allowing slippage.
  • the shape of the top surface 241 of the pin 240 can be flat, concave or convex. In some embodiments, the top surface 241 of the pin 240 is slightly convex to minimize the area of the pin 240 that will contact the wafer 120 .
  • the springs 220 of some embodiments have a spring constant sufficient to allows a wafer 120 resting on the pins to be elevated when no vacuum is applied and to contract so that the wafer 120 is resting on the bottom surface 135 of the recess 131 when vacuum is applied.
  • the spring constant is sufficient to elevate a wafer 120 supported on the pins to a distance D above the bottom surface 135 of the recess 131 by an amount in the range of about 0.5 mm to about 2 mm.
  • the spring 220 of some embodiments is made from a material comprising a high temperature material.
  • the spring can be made from a material comprising one or more of a ceramic and HASTELLOY® (a registered trademark of Haynes International Inc.).
  • the spring is made from a material comprising up to 3.5 wt % cobalt, in the range of about 1 to about 30 wt % chromium, in the range of about 5 to about 30 wt % molybdenum, up to about 5 wt % tungsten, in the range of about 1 to about 20 wt % iron, up to about 1 wt % silicon, up to about 3 wt % manganese, up to about 0.2 wt % carbon with the balance being at least about 30 wt % nickel.
  • the material further comprises up to about 0.5 wt % aluminum, up to about 0.7 wt % titanium, up to about 2 wt % copper, up to about 0.6 wt % vanadium or about 0.5 wt % of a combination of aluminum and titanium with 0.35 wt % copper.
  • the gap G there is a gap G between the outer diameter of the pin 240 and the inner diameter of the sleeve 210 .
  • the gap G is defined as the average distance between the pin 240 and sleeve 210 and is independent of the shape of the pin 240 and sleeve 210 .
  • circular pins and sleeves may have a more uniform gap at all points around the pin than for a sleeve and pin with different shapes.
  • the average gap of some embodiments is in the range of about 0.5 mm to about 0.15 mm. In one or more embodiments, the gap is less than about 0.25 mm, or less than about 0.2 mm or less than about 0.15 mm or less than about 0.1 mm.
  • the embodiment shown in the Figures has a flared top portion 211 on the sleeve 210 and a flared top portion 242 on the pin 240 .
  • the flared top 231 of the opening 232 in the susceptor body 230 may help prevent the sleeve 210 from dropping too far into the opening 232 .
  • the flared top 242 of the pin 240 may help prevent the pin 240 from dropping too far into the sleeve 210 .
  • FIG. 9 shows an embodiment of the invention in which the sleeve 210 has at least one hole 216 in the elongate body 215 .
  • the at least one hole 216 can be in the sides 213 or the bottom 212 of the sleeve 210 .
  • the at least one hole 216 may help evacuate gases from the inside of the sleeve 210 upon application of vacuum to the recess.
  • the gases from the gap G can be evacuated from the top portion of the sleeve 210 only or can also be evacuated through the hole 216 .
  • the susceptor assembly 130 within the processing chamber 100 is rotated to position one of the recesses 133 adjacent a loading area 260 .
  • the vacuum connection to the recess 133 adjacent the loading area 260 is disengaged allowing the springs 220 to expand lifting the wafer 120 out of the recess 133 .
  • Disengagement of the vacuum to the recess 133 can be done before, during or after the rotation of the susceptor.
  • the wafer 120 is above the top surface 131 of the susceptor assembly 130 where a robot arm (not shown) can be slid beneath the wafer 120 allowing the wafer 120 to be removed from the processing chamber.
  • a wafer 120 can be positioned on the at least three lift pins 200 located within the recess 133 on the susceptor assembly 130 .
  • the heating assembly 150 positioned beneath the susceptor assembly 130 heats the susceptor body 230 .
  • the wafer 120 supported on the pins 240 are held at a distance from the susceptor body 230 which allows the wafers 120 to absorb heat from the susceptor body 230 . This process is referred to as pre-heating and allows the temperature of the wafer 120 to slowly equilibrate with the temperature of the susceptor body.
  • the susceptor assembly 130 is rotated to position another recess 133 adjacent the loading area 260 .
  • the removal of a wafer at that recess 133 and loading of a new wafer 120 is repeated.
  • the process of rotation, removal of old wafer (if there is one) and placement of a new wafer is repeated until all of the recesses have a new wafer supported on the pins 240 of the lift pins 200 .
  • the vacuum connection to the recesses 133 associated with the wafers is engaged.
  • the vacuum causes the spring 220 to compress so that the pin 240 is lowered within the sleeve 210 , allowing the wafer to rest on the bottom 135 of the recess 133 .
  • the application of the vacuum to the recess can cause gases to draw out of the gap between the pin 240 and the sleeve 210 and/or through the opening 216 in the side of the sleeve 210 , as shown in FIG. 9 . It will be understood by those skilled in the art that the opening in the side of the sleeve is not necessary to draw all gases from the lift pins.
  • the application of the vacuum to the recesses can be sequential or simultaneous.
  • the wafer may be loaded into the process chamber onto the lift pins. After rotation of the susceptor assembly to move a new recess to the loading area, the wafer may have had sufficient time to come to temperature and can be lowered into the recess. In this way, each recess has a separate connection to the vacuum source and can be individually controlled.
  • all of the wafers are lowered into the recesses by engagement of the vacuum to the recesses at the same time.
  • the first wafer loaded will have had a greater amount of time to equilibrate with the susceptor body temperature than the last wafer loaded.
  • the last one, two, three, four or all of the wafers are pre-heated before loading into the process chamber.
  • FIGS. 11A through 11C show another embodiment of the invention in which there is a lift motor 270 connect to the lift pin 200 .
  • the lift motor can cause the sleeve 210 to move into and out of the opening 232 in the susceptor body 230 . This movement is independent of the compression and expansion of spring 220 .
  • FIG. 11A shows the lift pin 200 in a loading position, which might exist when the recess 133 is adjacent the loading area 260 of the processing station.
  • the lift 270 has elevated the sleeve 210 including spring 220 and pin 240 to a position where a robot (not shown) can easily reach beneath wafer 120 without touching the top surface 131 of the susceptor body 230 . Because the spring 220 is not compressed at this point, the pin 240 is elevated out of the sleeve 210 .
  • the lift 270 can lower the sleeve 210 , including the spring 220 and pin 240 to a pre-heating position.
  • This pre-heating position is shown in FIG. 11B .
  • the flared portion of the top of the sleeve 210 is positioned within the opening 232 in the susceptor body 230 .
  • the spring is still in the expanded form and the wafer 120 remains elevated above the bottom 135 of the recess 133 .
  • FIG. 11B shows the lift 270 in contact with the bottom of the sleeve 210 .
  • the lift 270 can continue to lower after the sleeve 210 is seated in the opening in the susceptor body so that the lift 270 is no longer in contact with the sleeve.
  • the vacuum connection to the recess 133 through the passage 140 can be engaged. This causes the gases in the gap between the pin 240 and the sleeve 210 to be evacuated and the spring 220 to compress. Upon compression, the pin 240 lowers into the sleeve 210 so that the wafer 120 rests on the bottom 135 of the recess 133 . This is a processing position shown in FIG. 11C . The lift 270 has lowered and is no longer in contact with the sleeve 210 .
  • Substrates for use with the embodiments of the invention can be any suitable substrate.
  • the substrate is a rigid, discrete, generally planar substrate.
  • the term “discrete” when referring to a substrate means that the substrate has a fixed dimension.
  • the substrate of specific embodiments is a semiconductor wafer, such as a 200 mm or 300 mm diameter silicon wafer.
  • reactive gas refers to gases and gaseous species capable of reacting with a substrate surface or a layer on the substrate surface.
  • one or more layers may be formed during a plasma enhanced atomic layer deposition (PEALD) process.
  • PEALD plasma enhanced atomic layer deposition
  • the use of plasma provides sufficient energy to promote a species into the excited state where surface reactions become favorable and likely.
  • Introducing the plasma into the process can be continuous or pulsed.
  • sequential pulses of precursors (or reactive gases) and plasma are used to process a layer.
  • the reagents may be ionized either locally (i.e., within the processing area) or remotely (i.e., outside the processing area). In some embodiments, remote ionization can occur upstream of the deposition chamber such that ions or other energetic or light emitting species are not in direct contact with the depositing film.
  • the plasma is generated external from the processing chamber, such as by a remote plasma generator system.
  • the plasma may be generated via any suitable plasma generation process or technique known to those skilled in the art.
  • plasma may be generated by one or more of a microwave (MW) frequency generator or a radio frequency (RF) generator.
  • MW microwave
  • RF radio frequency
  • the frequency of the plasma may be tuned depending on the specific reactive species being used. Suitable frequencies include, but are not limited to, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz and 100 MHz.
  • plasmas may be used during the deposition processes disclosed herein, plasmas may not be requisite. Indeed, other embodiments relate to deposition processes under very mild conditions without plasma.
  • the substrate is subjected to processing prior to and/or after forming the layer.
  • This processing can be performed in the same chamber or in one or more separate processing chambers.
  • the substrate is moved from the first chamber to a separate, second chamber for further processing.
  • the substrate can be moved directly from the first chamber to the separate processing chamber, or the substrate can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber.
  • the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a “cluster tool” or “clustered system”, and the like.
  • a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching.
  • a cluster tool includes at least a first chamber and a central transfer chamber.
  • the central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers.
  • the transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool.
  • Two well-known cluster tools which may be adapted for the present invention are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif.
  • staged-vacuum substrate processing apparatus The details of one such staged-vacuum substrate processing apparatus are disclosed in U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing Apparatus and Method,” Tepman et al., issued on Feb. 16, 1993.
  • processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • the substrate is continuously under vacuum or “load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next.
  • the transfer chambers are thus under vacuum and are “pumped down” under vacuum pressure.
  • Inert gases may be present in the processing chambers or the transfer chambers.
  • an inert gas is used as a purge gas to remove some or all of the reactants after forming the silicon layer on the surface of the substrate.
  • a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
  • the substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed.
  • the substrate can also be processed in a continuous manner, like a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber.
  • the shape of the chamber and associated conveyer system can form a straight path or curved path.
  • the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.
  • the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface.
  • the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively.
  • the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature.
  • a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.
  • the substrate can also be stationary or rotated during processing.
  • a rotating substrate can be rotated continuously or in discreet steps.
  • a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases.
  • Rotating the substrate during processing may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.

Abstract

Apparatus and methods for processing a semiconductor wafer including a susceptor assembly with recesses comprising at least three lift pins. The lift pins include a sleeve with a spring and pin positioned therein. The spring and pin elevate the wafer to a position where the wafer can be pre-heated and, upon compression, lowers the wafer to a processing position.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of U.S. application Ser. No. 14/505,809, filed on Oct. 3, 2014, the entire disclosure of which is hereby incorporated by reference herein.
  • BACKGROUND
  • Embodiments of the invention generally relate to apparatus and methods of holding a substrate during processing. In particular, embodiments of the invention are directed to lift pins for loading and unloading wafers from a processing chamber and methods of use.
  • In some CVD and ALD processing chambers, the substrates, also referred to herein as wafers, move relative to the precursor injector and heater assembly. If the motion creates acceleration forces larger than that of the frictional force, the wafer can become displaced causing damage or related issues. The wafers placed off-axis can slip at high acceleration/deceleration on a moving/rotating susceptor. Friction from the weight of the wafer itself is insufficient to hold the wafer on tools where higher throughput is sought.
  • To prevent the rotational forces from dislodging the wafer during process, additional hardware to clamp or chuck the wafer in place may be needed. For example, vacuum chucking may be incorporated into susceptor to provide negative pressure to the bottom surface of a wafer.
  • In single wafer processing chambers, wafers often preheat while resting on lift pins before being loaded into the susceptor recess. In carousel processing chambers, the preheating occurs at a loading position because the susceptor rotates. This process takes time and results in delays in indexing and loading of the next wafer. The susceptor of a carousel processing chamber has to stop rotation for an extended period of time to load each wafer. This delay in processing is cumulative and can result in large amounts of lost time.
  • Therefore, there is a need in the art for apparatus and methods to increase the wafer loading speed and shorten the delay for wafer pre-heating in processing chambers.
  • SUMMARY
  • One or more embodiments of the invention are directed to susceptor assemblies. The susceptor assembly comprises a susceptor having a susceptor body and a top surface with at least one recess therein. Each recess has a bottom surface. At least three lift pins are positioned within each recess. Each lift pin comprises a sleeve, a spring and a pin. The sleeve has an elongate body with a top end, bottom, sides and an elongate axis and is positioned within an opening in the bottom surface of the recess. The spring is positioned within the elongate body of the sleeve adjacent to the bottom of the sleeve. The pin is positioned within the elongate sleeve in contact with the spring. The pin is movable along the elongate axis of the sleeve so that a top surface of the pin can extend above the top end of the sleeve.
  • Additional embodiments of the invention are directed to susceptor assemblies. The susceptor assembly comprises a susceptor with a susceptor body and a top surface. There is at least one recess in the top surface. Each recess has a bottom surface. At least three lift pins are positioned within each recess. Each lift pin comprises a cylindrical sleeve, a spring and a cylindrical pin. The cylindrical sleeve has an elongate body with a top end, bottom, sides and an elongate axis and is positioned within an opening in bottom surface of the recess. The spring comprises a high temperature material and is positioned within the elongate body of the cylindrical sleeve adjacent the bottom of the cylindrical sleeve. The cylindrical pin is positioned within the elongate cylindrical sleeve in contact with the spring. The cylindrical pin has an outer diameter that is smaller than in inner diameter of the elongate cylindrical sleeve. The cylindrical pin is movable along the elongate axis of the cylindrical sleeve so that a top surface of the cylindrical pin can extend above the top end of the cylindrical sleeve. The spring has a spring constant sufficient to allow a wafer resting on the cylindrical pin to be elevated when no vacuum is applied and to contract so that the wafer rests on the bottom surface of the recess when a vacuum is applied. A vacuum source is in fluid communication with the recess in the susceptor.
  • Further embodiments of the invention are directed to processing methods. A susceptor assembly comprising a plurality of recesses is rotated to position a recess adjacent a loading area of a processing chamber. A wafer is positioned on at least three lift pins positioned within the recess. Each lift pin comprises an elongate sleeve with a spring and a pin therein so that the pin rests on the spring so that without applying a vacuum to the recess, the wafer is elevated above a bottom surface of the recess. The susceptor assembly is repeatedly rotated to position a recess adjacent the loading area and wafers are repeatedly positioned onto at least three lift pins in the recess until a predetermined number of wafers have been loaded into the processing chamber. A vacuum is applied to the plurality of recesses to compress the springs so that each of the wafers is lowered to rest on the bottom surface of the recess.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. The appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of the scope of the invention, for the invention may admits to other equally effective embodiments.
  • FIG. 1 shows partial cross-sectional view of a processing chamber in accordance with one or more embodiment of the disclosure; and
  • FIG. 2 shows a view of a portion of a gas distribution assembly in accordance with one or more embodiment of the disclosure;
  • FIG. 3 shows a partial cross-sectional view of a processing chamber in accordance with one or more embodiment of the disclosure;
  • FIG. 4 shows a perspective view of a recess in a susceptor assembly with vacuum passages visible in accordance with one or more embodiment of the disclosure;
  • FIG. 5 shows a cross-sectional perspective view of a susceptor assembly in accordance with one or more embodiment of the disclosure;
  • FIG. 6 shows a partial cross-sectional view of a susceptor assembly in accordance with one or more embodiment of the disclosure;
  • FIG. 7 shows a cross-sectional view of a lift pin in accordance with one or more embodiment of the disclosure;
  • FIGS. 8A and 8B show cross-sectional views of the lift pin taken along plane 8 of FIG. 7;
  • FIG. 9 shows a cross-sectional view of a susceptor assembly with lift pins in accordance with one or more embodiment of the disclosure;
  • FIG. 10 shows a schematic view of a processing chamber in accordance with one or more embodiments of the disclosure; and
  • FIGS. 11A through 11C show partial cross-sectional views of a susceptor assembly and process sequence in accordance with one or more embodiments of the disclosure.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially utilized in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • Embodiments of the invention provide methods and apparatus capable of keeping a wafer in position during processing to prevent accidental damage to the wafer and hardware. Specific embodiments of the invention are directed to apparatus and methods for creating a differential pressure developed from a unique precursor injector design with a magnitude sufficient to hold wafers in place at high rotation speeds. As used in this specification and the appended claims, the terms “wafer”, “substrate” and the like are used interchangeably. In some embodiments, the wafer is a rigid, discrete substrate.
  • In some spatial ALD chambers, the precursors used for deposition are injected in close proximity to the wafer surface. To develop the gas dynamics, the injector channels are independently controlled at a higher pressure than the surrounding chamber. By creating a pressure differential between the front side of the wafer and the back side of the wafer, a positive pressure force adequate to hold the wafer against relativity larger acceleration force can be generated.
  • In some embodiments, differential pressure is used to hold substrates (wafers) on a susceptor under large acceleration forces. The large acceleration forces occur as a result of high rotation speeds, which may be experienced in carousel-type processing chambers, from larger batch sizes and processing speeds or higher reciprocating motion for higher wafer throughput.
  • In some embodiments, the wafers sit in shallow pockets on a susceptor below the injector assemblies. The susceptor can provide heat transfer, improved gas dynamics and act as a carrier vehicle for the substrates.
  • In some embodiments, susceptors may include an angled hole for vacuum from inner diameter of susceptor-bottom up to the wafer pocket. The susceptor can get a vacuum source through the rotation shaft and rotation motor below the shaft.
  • Some embodiments of the disclosure are directed to susceptors with pins that hold a wafer at a preheat distance after the wafer moves from the wafer exchange position. In a susceptor with a vacuum chuck, it is possible to use spring-loaded pins. The spring stiffness is sufficient to hold the wafer weight, but will collapse when the vacuum chuck is engaged.
  • In some embodiments, the lift pins have two parts with a spring between them. The external pin (sleeve) slides in the pin hold in the susceptor. The inner pin sits inside of the external pin (sleeve). During wafer exchange, the pin assembly behaves similar to a conventional pin. But when recessed in a pocket, the inner pin sticking provides some gap between the wafer and the susceptor. All the wafers could be loaded without touching the pocket. When the last wafer is loaded and has been heated, the vacuum chuck can be engaged and the wafers go into the recesses. The last one or two wafers may be pre-heated outside of the chamber to shorten the loading time. In some embodiments, the spring-loaded pins are positioned within a recess in the susceptor assembly. In some embodiments, the spring-loaded pins are not positioned in a recessed portion of the susceptor.
  • FIG. 1 shows a portion of a processing chamber 100 in accordance with one or more embodiments of the invention. The processing chamber 100 includes at least one gas distribution assembly 110 to distribute the reactive gases to the chamber. The embodiment shown in FIG. 1 has a single gas distribution assembly 110, but those skilled in the art will understand that there can be any suitable number of gas distribution assemblies. There can be multiple assemblies with spaces between each assembly, or with practically no space between. For example, in some embodiments, there are multiple gas distribution assemblies 110 positioned next to each other so that the wafer 120 effectively sees a consistent repetition of gas streams.
  • While various types of gas distribution assemblies 110 can be employed (e.g., showerheads), for ease of description, the embodiment shown in FIG. 1 shows a plurality of substantially parallel gas channels 111. As used in this specification and the appended claims, the term “substantially parallel” means that the elongate axis of the gas channels 111 extend in the same general direction. There can be slight imperfections in the parallelism of the gas channels 111. However, those skilled in the art will understand that a carousel-type processing chamber may rotate the wafers about a central axis offset from the central axis of the wafer. In this configuration, gas channels 111 that are not substantially parallel may be useful. Referring to FIG. 2, the gas distribution assembly 110 may be a pie-shaped segment in which the gas channels 111 extend from in inner edge 115 of the pie-shape toward the outer edge 116 of the pie-shape. The shape of the gas channels 111 can also vary. In some embodiments, the gas channels 111 have a substantially uniform width along the length of the channel extending from the inner edge 115 to the outer edge 116. In other embodiments, the width of the gas channel 111 increases along the length of the channel extending from the inner edge 115 to the outer edge 116. This is shown in FIG. 2, where the gas channels 111 have a smaller width at the inner edge 115 and a wider width at the outer edge 116. The aspect ratio of this change in width, in accordance with some embodiments, may be equal to the radial difference in position so that the edges of each channel extend from the same point. This may result in all point of a wafer passing beneath the gas channels having about equal residence time. Stated differently, each channel width may change as a function of the distance from center of the susceptor rotation.
  • Referring back to FIG. 1, the plurality of gas channels 111 can include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel and/or at least one vacuum V channel. The gases flowing from the first reactive gas A channel(s), the second reactive gas B channel(s) and the purge gas P channel(s) are directed toward the top surface 121 of the wafer 120. This flow is shown with arrows 112. Some of the gas flow moves horizontally across the surface 121 of the wafer 120, up and out of the processing region through the purge gas P channel(s), shown with arrows 113. A substrate moving from the left to the right will be exposed to each of the process gases in turn, thereby forming a layer on the substrate surface. The substrate can be in a single wafer processing system in which the substrate is moved in a reciprocating motion beneath the gas distribution assembly, or on a carousel-type system in which one or more substrates are rotated about a central axis passing under the gas channels.
  • A susceptor assembly 130 is positioned beneath the gas distribution assembly 110. The susceptor assembly 130 includes a top surface 131, a bottom surface 132 and at least one recess 133 in the top surface 131. The recess 133 can be any suitable shape and size depending on the shape and size of the wafers 120 being processed. In the embodiment shown the recess 133 has two step regions 134 around the outer peripheral edge of the recess 133. These steps 134 can be sized to support the outer peripheral edge 122 of the wafer 120. The amount of the outer peripheral edge 122 of the wafer 120 that is supported by the steps 134 can vary depending on, for example, the thickness of the wafer and the presence of features already on the back side 123 of the wafer.
  • In some embodiments, the recess 133 in the top surface 131 of the susceptor assembly 130 is sized so that a wafer 120 supported in the recess 133 has a top surface 121 substantially coplanar with the top surface 131 of the susceptor assembly 130. As used in this specification and the appended claims, the term “substantially coplanar” means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar within ±0.2 mm. In some embodiments, the top surfaces are coplanar within ±0.15 mm, ±0.10 mm or ±0.05 mm.
  • The bottom 135 of the recess has at least one passage 140 extending through the susceptor assembly 130 to the drive shaft 160 of the susceptor assembly 130. The passage(s) 140 can be any suitable shape and size and forms a fluid communication between the recess 133 and the drive shaft 160. The drive shaft 160 can be connected to a vacuum source 165 which forms a region of decreased pressure (referred to as a vacuum) within a cavity 161 of the drive shaft 160. As used in this specification and the appended claims, the term “vacuum” used in this context means a region having a lower pressure than the pressure of the processing chamber. There is no need for there to be an absolute vacuum. In some embodiments, the vacuum, or region of decreased pressure has a pressure less than about 50 Torr, or less than about 40 Torr, or less than about 30 Torr, or less than about 20, Torr, or less than about 10 Torr, or less than about 5 Torr, or less than about 1 Torr, or less than about 100 mTorr, or less than about 10 mTorr.
  • The cavity 161 can act as a vacuum plenum so that if there is a loss of external vacuum, the vacuum within the cavity 161 can remain at decreased pressure. The passage 140 is in communication with the cavity 161 so that the vacuum within the cavity 161 can draw on the back side 123 of the wafer 120 through the passage 140.
  • With a vacuum, or partial vacuum, in the recess 133 below the wafer 120, the pressure in the reaction region 102 above the wafer 120 is greater than the pressure in the recess 133. This pressure differential provides sufficient force to prevent the wafer 120 from moving during processing. In one or more embodiments, the pressure in the recess 133 below the wafer 120 is lower than the pressure above the wafer 120 and the pressure in the processing chamber 100.
  • The pressure applied to the top surface 121 of the wafer 120 from the gas streams emitted by the gas distribution assembly 110, in conjunction with the decreased pressure beneath the wafer, may help hold the wafer in place. This may be of particular use in carousel-type processing chambers in which the wafers are offset from and rotated about a central axis. The centrifugal force associated with the rotation of the susceptor assembly can cause the wafer to slide away from the central axis. The pressure differential on the top side of the wafer versus the bottom side of the wafer, due to the gas pressure from the gas distribution assembly versus the pressure applied by the vacuum to the back side of the wafer, helps prevent the movement of the wafer. The gas channels of the gas distribution assembly can be controlled simultaneously (e.g., all of the output channels—reactive gases and purge channels—controlled together), in groups (e.g., all of the first reactive gas channels controlled together) or independently (e.g., the left-most channel controlled separately from the adjacent channel, etc.). As used in this specification and the appended claims, the term “output channels” “gas channels”, “gas injectors” and the like are used interchangeably to mean a slot, channel or nozzle type opening through which a gas is injected into the processing chamber. In some embodiments, the first reactive gas channel, the second reactive gas channel and the at least one purge gas channel are independently controlled. Independent control may be useful to provide a positive pressure on the top surface of the wafer positioned in the recess of the susceptor assembly. In some embodiments, each individual first reactive gas injector, second reactive gas injector, purge gas injector and pump channel can be individually and independently controlled.
  • The pressure differential between the top surface of the wafer and the bottom surface of the wafer can be adjusted by changing, for example, the pressure of the gases from the gas distribution assembly, the flow rate of the gases from the gas distribution assembly, the distance between the gas distribution assembly and the wafer or susceptor surface and the vacuum pressure applied beneath the wafer. As used in this specification and the appended claims, the differential pressure is a measure of the pressure above the wafer vs. the pressure below the wafer. The pressure above the wafer is the pressure applied to the wafer surface or the pressure in the reaction region 102 of the processing chamber 100. The pressure below the wafer is the pressure in the recess, the pressure on the bottom surface of the vacuum pressure in the susceptor assembly 130. The magnitude of the pressure differential can directly affect the degree to which the wafer is chucked. In some embodiments, the pressure differential between the top surface 121 of the wafer 120 and the bottom surface 123 of a wafer 120 is greater than about 15 torr, or greater than about 10 torr, or greater than about 5 torr. In one or more embodiments, the differential pressure between the top surface 121 of the wafer 120 and the pressure in the recess 133 equates to a chucking force large enough to hold a 300 mm wafer at a bolt center radius of about 320 mm at a rotational speed of about 200 rpm.
  • In some embodiments, as shown in FIG. 1, the processing chamber 100 includes a heating assembly 150. The heating assembly can be positioned in any suitable location within the processing chamber including, but not limited to, below the susceptor assembly 130 and/or on the opposite side of the susceptor assembly 130 than the gas distribution assembly 110. The heating assembly 150 provides sufficient heat to the processing chamber to elevate the temperature of the wafer 120 to temperatures useful in the process. Suitable heating assemblies include, but are not limited to, resistive heaters and radiant heaters (e.g., a plurality of lamps) which direct radiant energy toward the bottom surface of the susceptor assembly 130. The heating assembly 150 of some embodiments, heats the susceptor, which in turn heats the wafers.
  • The distance between the gas distribution assembly 110 and the top surface 121 of the wafer 120 can be tuned and may have an impact on the pressure differential and the efficiency of the gas flows from the gas distribution assembly. If the distance is too large, the gas flows could diffuse outward before encountering the surface of the wafer, resulting in a lower pressure differential and less efficient atomic layer deposition reaction. If the distance is too small, the gas flows may not be able to flow across the surface to the vacuum ports of the gas distribution assembly and may result in a large pressure differential. In some embodiments, the gap between the surface of the wafer and the gas distribution assembly is in the range of about 0.5 mm to about 2.0 mm, or in the range of about 0.7 mm to about 1.5 mm, or in the range of about 0.9 mm to about 1.1 mm, or about 1.0 mm.
  • The recess 133 shown in FIG. 1 supports the wafer 120 about an outer peripheral edge 122 of the wafer 120. Depending on the thickness, stiffness and/or vacuum pressure in the recess 133, this arrangement can result in the successful chucking of the wafer, thereby preventing movement of the wafer during rotation or movement of the susceptor assembly 130. However, if the wafer is not thick or stiff, or the vacuum pressure in the recess 133 is too low, the wafer 120 may deflect so that the center portion of the wafer is further away from the gas distribution assembly 110 than the outer peripheral edge 122 of the wafer 120.
  • FIG. 3 shows another embodiment which helps prevent deflection of the wafer by providing a greater support surface area. Here, the wafer 120 is supported across a majority of the back surface 123 by the susceptor 130. This Figure shows a cross-section of the susceptor assembly. The center portion 137 of the susceptor assembly 130 is not free floating, but is connected to the remainder of the susceptor in a different plane than the cross-sectional view. The passage 140 extends from the drive shaft 160, or from a cavity 161 within the drive shaft 160 toward the recess 133. The passage 140 connects to a channel 146 which extends toward the top surface 131 of the susceptor assembly 130. The vacuum chucks the wafer 120 to the susceptor assembly 130 by the vacuum through the channel 146 and passage 140.
  • FIG. 4 shows a perspective view of a susceptor assembly 130 similar to that of FIG. 3. The susceptor assembly 130 shown has a recess 133 with a relatively large step 134 to support the outer peripheral edge 122 of the wafer (not shown). The recess 133 includes a large passage 140 connects the channel 146 to the vacuum in the drive shaft. The channel shown is shaped like a capital theta, providing a channel ring with a channel portion extending across the diameter of the ring. The center portion 137 of the susceptor assembly 130 is about coplanar with the step 134 so that the center portion 137 and the step 134 support the wafer at the same time.
  • FIG. 5 shows a perspective view of a susceptor assembly 130 in accordance with one or more embodiments of the invention. Here the passage 140 extends from drive shaft 160 toward the recess 133 connecting the cavity 161, which acts as a vacuum plenum, with the channel 146 in the recess. The passage 140 has a plurality of holes 147 connecting the top surface 131 of the susceptor assembly 130 with the passage 140. In some embodiments, there is at least one hole extending from one of the top surface 131 of the susceptor assembly 130 and the bottom surface 132 of the susceptor assembly 130 to the passage 140. These holes 147 can be created (e.g., drilled) during the manufacture of the susceptor assembly to allow the inside of the passage 140 to be coated. For example, in some embodiments, the susceptor assembly 130 has a silicon carbide coating. The susceptor assembly of some embodiments comprises silicon carbide coated graphite. The holes 147 allow the silicon carbide to be coated on the passage 140 and are then sealed with plugs 148. The plugs can be made of any suitable material including, but not limited to, silicon carbide, silicon carbide coated graphite, a material with a silicon carbide coating and graphite. After the plugs 148 have been inserted into the holes 147, the susceptor assembly can be coated with silicon carbide again to provide an additional sealing of the holes 147. The plugs 148 can be press-fit (e.g., friction fit), connected to the holes 147 by complementary screw threads or connected by some other mechanical connection (e.g., epoxy).
  • During the preparation of, for example, a silicon carbide coated susceptor assembly 130, the holes 147 provide a useful passageway for the silicon carbide to coat the passage 140. The size and spacing of the holes 147 may have an impact on the efficiency of the coating. The holes 147 can be spaced in increments of the hole diameter. For example, if the holes are 5 mm in diameter, the spacing might be 5× mm, with x being any suitable value. For example, the spacing may be 1, 2, 3, 4, 5, 6, 7, 8, 9 or 10 times the hole diameter. The holes 147 can be positioned at any suitable points along the length of the passage 140, and can but do not need to be evenly distributed across the passage 140 length. As shown in FIG. 5, the holes 147 are concentrated toward the inner portion of the susceptor assembly 130, where the passage 140 is furthest from the top surface 131 of the susceptor assembly 130.
  • The passages 140 can be used to supply a vacuum to the recess 133 to chuck the wafer 120. However, when the wafer is processed, the vacuum may be too strong to easily remove the processed wafer from the recess. To ease removal of the wafer, the passages 140 can also be used to provide a flow of gas toward the back side of the wafer 120. Thus providing a positive pressure to the back side of the wafer to allow the wafer to be easily removed from the susceptor assembly. FIG. 6 shows a schematic view of a susceptor assembly in accordance with one or more embodiments of the invention. Here, the recess 133 is connected to the passage 140 which leads to the cavity 161 within the drive shaft. A valve 171 is positioned within the passage 140. The valve 171 can allow a fluid connection between the passage 140 and the cavity 161 through connector 141. If a vacuum, or region of decreased pressure, is formed in the cavity 161, then the valve can connect the cavity 161 to the recess 133 through the connector 141 and passage 140. The valve 171 can be switched to break the fluid connection between the passage 140 and the cavity 161. The valve can be set to a closed position, isolating passage 140, or the valve can be set to a position where a connection is formed between passage 140 and a dechucking gas plenum 173 through connector 142. The dechucking gas plenum 173 is shown in fluid communication with a dechucking gas source 175. The dechucking gas source 175 can comprise any suitable gas including, but not limited to, nitrogen, argon, helium or an inert gas.
  • The vacuum source 165 can be connected to the cavity 161 through valve 162. The valve 162 can be used to isolate the cavity 161 from the vacuum source 165 in the event that there is a loss of vacuum from the vacuum source 165. This allows the cavity 161 to act as a vacuum plenum so that the wafers on the susceptor assembly remain chucked until while the vacuum source is being reconnected or repaired.
  • Each of the individual recesses 133 in the susceptor assembly 130 can include a separate passage 140 and valve 171. This allows each individual recess 133 to be isolated from the vacuum in the cavity 161. For example, a processed wafer 120 can be rotated to the loading/unloading area of the processing chamber. The valve 171 can be closed or switched to the dechucking gas plenum 173 to cause a positive pressure on the back side of the wafer, allowing a robot to pick up the wafer. After picking up the wafer, the valve can close so that the pressure in the recess 133 will be equal to the pressure of the chamber. A new wafer can be placed in the recess and the valve 171 switched back to allow fluid connection with the cavity 161 to chuck the new wafer.
  • With reference to FIGS. 7-10, some embodiments of the invention are directed to susceptor assemblies. The susceptor assembly has a susceptor body 230 and a top surface 235. There is at least one recess 133 in the susceptor body 230 extending from the top surface into the susceptor body. Each recess 131 has a bottom 135.
  • There can be at least three lift pins 200 positioned within holes in each the top surface 235 of the susceptor or in a recess 131 in the susceptor body 230. The lift pins 200 are capable of supporting a planar piece, like a semiconductor wafer 120, and moving the planar piece closer to and further away from the susceptor body 230. FIG. 9 shows only two lift pins 200 because the at least one additional lift pin 200 is not within the cross-section shown.
  • Each lift pin 200 comprises a sleeve 210, a spring 220 and a pin 240. The sleeve 210 has an elongate body 215 with a top end 211, a bottom 212 and sides 213. The top end 211 of the sleeve 210 shown is open to allow the pin 240, or other components, to move into and out of the sleeve 210. The bottom 212 of the sleeve 210 can be completely solid or can have openings. The elongate axis 214 of the sleeve 210 extends through the bottom 212 and the open top end 211.
  • The sleeve 210 can be any suitable shape. For example, the sleeve 210 can be circular, rectangular, pentagonal, hexagonal, heptagonal or octagonal. The cross-sectional shape can be regular or irregular. In one or more embodiment, the cross-sectional shape of the sleeve is circular. The shape of the sleeve refers to the overall impression of the shape when viewed from the side. The sleeve shown in FIG. 7 is considered circular even though the top portion adjacent the open top end 211 flares outwardly. The overall shape, or general shape, of the sleeve 210 and pin 240 are determined without considering a change in diameter or shape adjacent the top, or contact, portion of the pin or sleeve. The sleeve 210 can be made out of any suitable material including, but not limited to, ceramic, aluminum nitride, aluminum oxide and stainless steel.
  • A spring 220 is positioned within the elongate body 215 of the sleeve 210. The spring 220 is positioned adjacent the bottom 212 of the sleeve 210. The bottom end 221 of the spring 220 contacts the bottom 212 of the sleeve 210, so there is generally some structure to the bottom 212 of the sleeve 210 rather than being merely an open end. As described above, the bottom 212 of the sleeve 210 can be completely solid or can have openings.
  • A pin 240 is positioned within the elongate sleeve 210 in contact with the top end 222 of the spring 220. The pin 240 is movable along the elongate axis 214 of the sleeve 210 so that a top surface 241 of the pin 240 can extend above the top end 211 of the sleeve 210.
  • The pin 240 can have the same general shape as that of the sleeve 210 or a different shape that can cooperatively interact with the sleeve. For example, in the embodiment shown in FIG. 8A, the sleeve and pin may both have generally cylindrical shapes. In the embodiment shown in FIG. 8B, the sleeve has a generally cylindrical shape and the pin has a rectangular shape.
  • The pin 240 can be made from any suitable material that resists reaction with the processing gases being used in the processing chamber and is stable at the temperature employed in the processing chamber. Suitable materials include, but are not limited to, ceramic, aluminum nitride, aluminum oxide and stainless steel. The top surface 241 of the pin 240 can be made of the same material as the pin 240 or can be a different material. For example, the top surface 241 may have a coating or piece of a soft material that can safely contact the wafers without damaging or allowing slippage. The shape of the top surface 241 of the pin 240 can be flat, concave or convex. In some embodiments, the top surface 241 of the pin 240 is slightly convex to minimize the area of the pin 240 that will contact the wafer 120.
  • The springs 220 of some embodiments have a spring constant sufficient to allows a wafer 120 resting on the pins to be elevated when no vacuum is applied and to contract so that the wafer 120 is resting on the bottom surface 135 of the recess 131 when vacuum is applied. In some embodiments, the spring constant is sufficient to elevate a wafer 120 supported on the pins to a distance D above the bottom surface 135 of the recess 131 by an amount in the range of about 0.5 mm to about 2 mm.
  • The spring 220 of some embodiments is made from a material comprising a high temperature material. For example, the spring can be made from a material comprising one or more of a ceramic and HASTELLOY® (a registered trademark of Haynes International Inc.). In one or more embodiments, the spring is made from a material comprising up to 3.5 wt % cobalt, in the range of about 1 to about 30 wt % chromium, in the range of about 5 to about 30 wt % molybdenum, up to about 5 wt % tungsten, in the range of about 1 to about 20 wt % iron, up to about 1 wt % silicon, up to about 3 wt % manganese, up to about 0.2 wt % carbon with the balance being at least about 30 wt % nickel. In some embodiments, the material further comprises up to about 0.5 wt % aluminum, up to about 0.7 wt % titanium, up to about 2 wt % copper, up to about 0.6 wt % vanadium or about 0.5 wt % of a combination of aluminum and titanium with 0.35 wt % copper.
  • In some embodiments, there is a gap G between the outer diameter of the pin 240 and the inner diameter of the sleeve 210. The gap G is defined as the average distance between the pin 240 and sleeve 210 and is independent of the shape of the pin 240 and sleeve 210. For example, circular pins and sleeves may have a more uniform gap at all points around the pin than for a sleeve and pin with different shapes. Stated differently, in some embodiments, there is a gap between the inside surface of the sleeve and the outside surface of the pin. The average gap of some embodiments is in the range of about 0.5 mm to about 0.15 mm. In one or more embodiments, the gap is less than about 0.25 mm, or less than about 0.2 mm or less than about 0.15 mm or less than about 0.1 mm.
  • The embodiment shown in the Figures has a flared top portion 211 on the sleeve 210 and a flared top portion 242 on the pin 240. The flared top 231 of the opening 232 in the susceptor body 230 may help prevent the sleeve 210 from dropping too far into the opening 232. Additionally, the flared top 242 of the pin 240 may help prevent the pin 240 from dropping too far into the sleeve 210.
  • FIG. 9 shows an embodiment of the invention in which the sleeve 210 has at least one hole 216 in the elongate body 215. The at least one hole 216 can be in the sides 213 or the bottom 212 of the sleeve 210. The at least one hole 216 may help evacuate gases from the inside of the sleeve 210 upon application of vacuum to the recess. The gases from the gap G can be evacuated from the top portion of the sleeve 210 only or can also be evacuated through the hole 216.
  • Referring to FIGS. 9 and 10, the use of the susceptor assembly 130 and lift pins 200 are described. The susceptor assembly 130 within the processing chamber 100 is rotated to position one of the recesses 133 adjacent a loading area 260. The vacuum connection to the recess 133 adjacent the loading area 260 is disengaged allowing the springs 220 to expand lifting the wafer 120 out of the recess 133. Disengagement of the vacuum to the recess 133 can be done before, during or after the rotation of the susceptor. As shown in FIG. 9, the wafer 120 is above the top surface 131 of the susceptor assembly 130 where a robot arm (not shown) can be slid beneath the wafer 120 allowing the wafer 120 to be removed from the processing chamber.
  • A wafer 120 can be positioned on the at least three lift pins 200 located within the recess 133 on the susceptor assembly 130. The heating assembly 150 positioned beneath the susceptor assembly 130 heats the susceptor body 230. The wafer 120 supported on the pins 240 are held at a distance from the susceptor body 230 which allows the wafers 120 to absorb heat from the susceptor body 230. This process is referred to as pre-heating and allows the temperature of the wafer 120 to slowly equilibrate with the temperature of the susceptor body.
  • The susceptor assembly 130 is rotated to position another recess 133 adjacent the loading area 260. The removal of a wafer at that recess 133 and loading of a new wafer 120 is repeated. The process of rotation, removal of old wafer (if there is one) and placement of a new wafer is repeated until all of the recesses have a new wafer supported on the pins 240 of the lift pins 200.
  • When a predetermined number of wafers are positioned on the lift pins 200, the vacuum connection to the recesses 133 associated with the wafers is engaged. The vacuum causes the spring 220 to compress so that the pin 240 is lowered within the sleeve 210, allowing the wafer to rest on the bottom 135 of the recess 133. The application of the vacuum to the recess can cause gases to draw out of the gap between the pin 240 and the sleeve 210 and/or through the opening 216 in the side of the sleeve 210, as shown in FIG. 9. It will be understood by those skilled in the art that the opening in the side of the sleeve is not necessary to draw all gases from the lift pins.
  • The application of the vacuum to the recesses can be sequential or simultaneous. For example, the wafer may be loaded into the process chamber onto the lift pins. After rotation of the susceptor assembly to move a new recess to the loading area, the wafer may have had sufficient time to come to temperature and can be lowered into the recess. In this way, each recess has a separate connection to the vacuum source and can be individually controlled. In some embodiments, all of the wafers are lowered into the recesses by engagement of the vacuum to the recesses at the same time. The first wafer loaded will have had a greater amount of time to equilibrate with the susceptor body temperature than the last wafer loaded. In some embodiments, the last one, two, three, four or all of the wafers are pre-heated before loading into the process chamber.
  • FIGS. 11A through 11C show another embodiment of the invention in which there is a lift motor 270 connect to the lift pin 200. The lift motor can cause the sleeve 210 to move into and out of the opening 232 in the susceptor body 230. This movement is independent of the compression and expansion of spring 220.
  • FIG. 11A shows the lift pin 200 in a loading position, which might exist when the recess 133 is adjacent the loading area 260 of the processing station. Here, the lift 270 has elevated the sleeve 210 including spring 220 and pin 240 to a position where a robot (not shown) can easily reach beneath wafer 120 without touching the top surface 131 of the susceptor body 230. Because the spring 220 is not compressed at this point, the pin 240 is elevated out of the sleeve 210.
  • Once the wafer 120 has been placed onto the lift pins 200, the lift 270 can lower the sleeve 210, including the spring 220 and pin 240 to a pre-heating position. This pre-heating position is shown in FIG. 11B. Here, the flared portion of the top of the sleeve 210 is positioned within the opening 232 in the susceptor body 230. The spring is still in the expanded form and the wafer 120 remains elevated above the bottom 135 of the recess 133. FIG. 11B shows the lift 270 in contact with the bottom of the sleeve 210. The lift 270 can continue to lower after the sleeve 210 is seated in the opening in the susceptor body so that the lift 270 is no longer in contact with the sleeve.
  • Once the wafer 120 has been sufficiently pre-heated, the vacuum connection to the recess 133 through the passage 140 can be engaged. This causes the gases in the gap between the pin 240 and the sleeve 210 to be evacuated and the spring 220 to compress. Upon compression, the pin 240 lowers into the sleeve 210 so that the wafer 120 rests on the bottom 135 of the recess 133. This is a processing position shown in FIG. 11C. The lift 270 has lowered and is no longer in contact with the sleeve 210.
  • Substrates for use with the embodiments of the invention can be any suitable substrate. In detailed embodiments, the substrate is a rigid, discrete, generally planar substrate. As used in this specification and the appended claims, the term “discrete” when referring to a substrate means that the substrate has a fixed dimension. The substrate of specific embodiments is a semiconductor wafer, such as a 200 mm or 300 mm diameter silicon wafer.
  • As used in this specification and the appended claims, the terms “reactive gas”, “reactive precursor”, “first precursor”, “second precursor” and the like, refer to gases and gaseous species capable of reacting with a substrate surface or a layer on the substrate surface.
  • In some embodiments, one or more layers may be formed during a plasma enhanced atomic layer deposition (PEALD) process. In some processes, the use of plasma provides sufficient energy to promote a species into the excited state where surface reactions become favorable and likely. Introducing the plasma into the process can be continuous or pulsed. In some embodiments, sequential pulses of precursors (or reactive gases) and plasma are used to process a layer. In some embodiments, the reagents may be ionized either locally (i.e., within the processing area) or remotely (i.e., outside the processing area). In some embodiments, remote ionization can occur upstream of the deposition chamber such that ions or other energetic or light emitting species are not in direct contact with the depositing film. In some PEALD processes, the plasma is generated external from the processing chamber, such as by a remote plasma generator system. The plasma may be generated via any suitable plasma generation process or technique known to those skilled in the art. For example, plasma may be generated by one or more of a microwave (MW) frequency generator or a radio frequency (RF) generator. The frequency of the plasma may be tuned depending on the specific reactive species being used. Suitable frequencies include, but are not limited to, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz and 100 MHz. Although plasmas may be used during the deposition processes disclosed herein, plasmas may not be requisite. Indeed, other embodiments relate to deposition processes under very mild conditions without plasma.
  • According to one or more embodiments, the substrate is subjected to processing prior to and/or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or the substrate can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber. Accordingly, the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a “cluster tool” or “clustered system”, and the like.
  • Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. Two well-known cluster tools which may be adapted for the present invention are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif. The details of one such staged-vacuum substrate processing apparatus are disclosed in U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing Apparatus and Method,” Tepman et al., issued on Feb. 16, 1993. However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.
  • According to one or more embodiments, the substrate is continuously under vacuum or “load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are “pumped down” under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants after forming the silicon layer on the surface of the substrate. According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
  • The substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed. The substrate can also be processed in a continuous manner, like a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber. The shape of the chamber and associated conveyer system can form a straight path or curved path. Additionally, the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.
  • During processing, the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface. In some embodiments, the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively. In one or more embodiments, the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.
  • The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated continuously or in discreet steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.
  • Although the invention herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present invention without departing from the spirit and scope of the invention. Thus, it is intended that the present invention include modifications and variations that are within the scope of the appended claims and equivalents.

Claims (20)

What is claimed is:
1. A processing method comprising:
rotating a susceptor assembly comprising a plurality of recesses to position a recess adjacent a loading area of a processing chamber;
positioning a wafer on at least three lift pins positioned within the recess, each lift pin comprising an elongate sleeve with a spring and a pin therein so that the pin rests on the spring so that without applying a vacuum to the recess, the wafer is elevated above a bottom surface of the recess;
repeatedly rotating the susceptor assembly to position a recess adjacent the loading area and positioning wafers onto at least three lift pins in the recess until a predetermined number of wafers have been loaded into the processing chamber; and
applying a vacuum to the plurality of recesses to compress the springs so that each of the wafers are lowered to rest on the bottom surface of the recess.
2. The method of claim 1, wherein each of the lift pins comprises at least one hole in the elongate sleeve.
3. The method of claim 1, wherein when no vacuum is applied to the recess, the wafer is elevated a distance above the bottom surface of the recess in the range of about 0.5 mm to about 2 mm.
4. The method of claim 1, further comprising moving the sleeve to elevate a top portion of the sleeve above the bottom surface of the recess to position a wafer on the top of the pins.
5. The method of claim 1, wherein the elongated sleeve comprises a flared top end.
6. The method of claim 1, wherein the pin comprises a flared top portion.
7. The method of claim 1, wherein the elongate sleeve is cylindrical.
8. The method of claim 1, wherein there is a gap between an inside surface of the elongate sleeve and an outside surface of the pin.
9. The method of claim 8, wherein the gap is in a range of about 0.05 mm to about 0.15 mm.
10. The method of claim 1, wherein the springs in the at least three lift pins have spring constant sufficient to allows a wafer resting on the pins to be elevated when no vacuum is applied and to contract so that the wafer is resting on the bottom surface of the recess when vacuum is applied.
11. A processing method comprising:
rotating a susceptor assembly comprising a plurality of recesses to position a recess adjacent a loading area of a processing chamber, each recess having a bottom surface with at least three flared openings;
positioning a wafer on at least three lift pins positioned within the recess, each lift pin positioned within one of the at least three flared openings in the bottom surface of the recess, each lift pin comprising a sleeve having an elongate body with a flared top end, bottom, sides, and an elongate axis, the sleeve movable within the recess along the elongate axis so that the flared top end of the sleeve can extend above the bottom surface of the recess, a spring within the elongate body of the sleeve adjacent the bottom surface of the sleeve, and a pin positioned within the elongate sleeve in contact with the spring, the pin having a flared top portion and movable along the elongate axis of the sleeve so that a top surface of the pin can extend above the flared top end of the sleeve, the pin within the elongate sleeve so that the pin rests on the spring so that without applying a vacuum to the recess, the wafer is elevated above a bottom surface of the recess;
repeatedly rotating the susceptor assembly to position a recess adjacent the loading area and positioning wafers onto at least three lift pins in the recess until a predetermined number of wafers have been loaded into the processing chamber; and
applying a vacuum to the plurality of recesses to compress the springs so that each of the wafers are lowered to rest on the bottom surface of the recess.
12. The method of claim 7, wherein each of the lift pins comprises at least one hole in the elongate sleeve.
13. The method of claim 7, wherein when no vacuum is applied to the recess, the wafer is elevated a distance above the bottom surface of the recess in the range of about 0.5 mm to about 2 mm.
14. The method of claim 7, further comprising moving the sleeve to elevate a top portion of the sleeve above the bottom surface of the recess to position a wafer on the top of the pins.
15. The method of claim 7, wherein the elongate body of the sleeve is cylindrical.
16. The method of claim 7, wherein there is a gap between an inside surface of the elongate sleeve and an outside surface of the pin.
17. The method of claim 16, wherein the gap is in a range of about 0.05 mm to about 0.15 mm.
18. The method of claim 7, wherein the springs in the at least three lift pins have spring constant sufficient to allows a wafer resting on the pins to be elevated when no vacuum is applied and to contract so that the wafer is resting on the bottom surface of the recess when vacuum is applied.
19. A processing method comprising:
rotating a susceptor having a susceptor body and a top surface with at least one recess therein sized to enclose a wafer during processing, each recess having a bottom surface with at least three flared openings;
positioning a wafer on at least three lift pins positioned within the recess, each lift pin positioned within one of the at least three flared openings, each lift pin comprising a cylindrical sleeve having an elongate body with a flared top end, bottom, sides, and an elongate axis, each of the cylindrical sleeves positioned within the openings in bottom surface of the recess and movable into and out of the opening, a spring comprising a high temperature material positioned within the elongate body of the cylindrical sleeve adjacent the bottom of the cylindrical sleeve, and a cylindrical pin positioned within the elongate cylindrical sleeve in contact with the spring, the cylindrical pin having a flared top portion, an outer diameter that is smaller than an inner diameter of the elongate cylindrical sleeve and forming a gap between the cylindrical pin and the cylindrical sleeve, the cylindrical pin movable along the elongate axis of the cylindrical sleeve so that a top surface of the cylindrical pin can extend above the flared top end of the cylindrical sleeve, the spring having a spring constant sufficient to allow a wafer resting on the cylindrical pin to be elevated when no vacuum is applied and to contract so that the wafer rests on the bottom surface of the recess when a vacuum is applied;
repeatedly rotating the susceptor assembly to position a recess adjacent the loading area and positioning wafers onto at least three lift pins in the recess until a predetermined number of wafers have been loaded into the processing chamber; and
applying a vacuum to the plurality of recesses to compress the springs so that each of the wafers are lowered to rest on the bottom surface of the recess.
20. The method of claim 19, wherein the spring is made from a material comprising one or more of a ceramic and a material comprising up to 3.5 wt % cobalt, in the range of about 1 to about 30 wt % chromium, in the range of about 5 to about 30 wt % molybdenum, up to about 5 wt % tungsten, in the range of about 1 to about 20 wt % iron, up to about 1 wt % silicon, up to about 3 wt % manganese, up to about 0.2 wt % carbon with the balance being at least about 30 wt % nickel.
US16/208,887 2014-10-03 2018-12-04 Spring-Loaded Pins For Susceptor Assembly And Processing Methods Using Same Abandoned US20190109036A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/208,887 US20190109036A1 (en) 2014-10-03 2018-12-04 Spring-Loaded Pins For Susceptor Assembly And Processing Methods Using Same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/505,809 US10192770B2 (en) 2014-10-03 2014-10-03 Spring-loaded pins for susceptor assembly and processing methods using same
US16/208,887 US20190109036A1 (en) 2014-10-03 2018-12-04 Spring-Loaded Pins For Susceptor Assembly And Processing Methods Using Same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/505,809 Division US10192770B2 (en) 2014-10-03 2014-10-03 Spring-loaded pins for susceptor assembly and processing methods using same

Publications (1)

Publication Number Publication Date
US20190109036A1 true US20190109036A1 (en) 2019-04-11

Family

ID=55631531

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/505,809 Expired - Fee Related US10192770B2 (en) 2014-10-03 2014-10-03 Spring-loaded pins for susceptor assembly and processing methods using same
US16/208,887 Abandoned US20190109036A1 (en) 2014-10-03 2018-12-04 Spring-Loaded Pins For Susceptor Assembly And Processing Methods Using Same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/505,809 Expired - Fee Related US10192770B2 (en) 2014-10-03 2014-10-03 Spring-loaded pins for susceptor assembly and processing methods using same

Country Status (5)

Country Link
US (2) US10192770B2 (en)
KR (1) KR102469123B1 (en)
CN (1) CN107078090B (en)
TW (1) TW201618217A (en)
WO (1) WO2016054397A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020222771A1 (en) * 2019-04-29 2020-11-05 Applied Materials, Inc. Support pin apparatus for substrate processing chambers
US11282738B2 (en) 2019-12-16 2022-03-22 Samsung Electronics Co., Ltd. Lift pin module
US20240105476A1 (en) * 2022-09-23 2024-03-28 Intel Corporation System for coating method

Families Citing this family (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105256283B (en) * 2015-10-30 2017-08-11 京东方科技集团股份有限公司 A kind of substrate fixes carrier, the fixedly separated method of substrate and substrate evaporation coating method
EP3391994A4 (en) * 2015-11-16 2019-11-27 Takatori Corporation Wire saw device, and processing method and processing device for workpiece
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6513041B2 (en) * 2016-02-19 2019-05-15 信越半導体株式会社 Heat treatment method of semiconductor wafer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN110073484B (en) * 2017-11-21 2023-10-17 株式会社爱发科 Lifting pin and vacuum processing device
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102188354B1 (en) * 2017-12-28 2020-12-09 세메스 주식회사 Apparatus and Method for treating substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) * 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190382891A1 (en) * 2018-06-18 2019-12-19 Applied Materials, Inc. Method and solution for resolving cgt mura issue
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200039861A (en) * 2018-10-05 2020-04-17 삼성전자주식회사 Apparatus for sawing semi-conductor package
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
KR102640172B1 (en) * 2019-07-03 2024-02-23 삼성전자주식회사 Processing apparatus for a substrate and method of driving the same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021044336A (en) * 2019-09-10 2021-03-18 キオクシア株式会社 Semiconductor manufacturing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110648958B (en) * 2019-09-26 2022-04-08 京东方科技集团股份有限公司 Substrate support table and substrate preparation device
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
CN111106062A (en) * 2019-12-31 2020-05-05 华虹半导体(无锡)有限公司 Degassing process and method for manufacturing metal hard mask layer
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11715665B2 (en) * 2020-02-11 2023-08-01 Taiwan Semiconductor Manufacturing Company Limited Height adjustable semiconductor wafer support
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
CN111312653B (en) * 2020-03-16 2023-08-18 北京北方华创微电子装备有限公司 Wafer carrying device and semiconductor processing equipment
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
CN113818003A (en) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 Film preparation method and equipment
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093371A1 (en) * 2020-09-21 2022-03-24 Applied Materials, Inc. Radiation shield for removing backside deposition at lift pin locations
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11961817B2 (en) * 2021-02-26 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming a package structure
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115206868A (en) * 2022-09-19 2022-10-18 拓荆科技(上海)有限公司 Wafer supporting assembly and wafer processing device

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4245698A (en) * 1978-03-01 1981-01-20 Exxon Research & Engineering Co. Superalloys having improved resistance to hydrogen embrittlement and methods of producing and using the same
JPS61214937A (en) 1985-03-15 1986-09-24 Canon Inc Attractive holder
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5823153A (en) 1997-05-08 1998-10-20 Briggs & Stratton Corporation Compressing release with snap-in components
JP3602324B2 (en) * 1998-02-17 2004-12-15 アルプス電気株式会社 Plasma processing equipment
US6032691A (en) * 1999-03-29 2000-03-07 Kaylynn, Inc. Valve assembly
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
EP1174910A3 (en) 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
DE10232478A1 (en) * 2002-07-17 2004-02-12 Infineon Technologies Ag Wafer lifting device for semiconductor electronics and chip production and testing has guides for lifting pins which are attached to the wafer holder
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
KR100944379B1 (en) 2003-06-02 2010-02-26 주성엔지니어링(주) Apparatus for wafer loading, and the method of wafer loading using the same
US7187188B2 (en) 2003-12-24 2007-03-06 Cascade Microtech, Inc. Chuck with integrated wafer support
US7292428B2 (en) 2005-04-26 2007-11-06 Applied Materials, Inc. Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
JP4687534B2 (en) * 2005-09-30 2011-05-25 東京エレクトロン株式会社 Substrate mounting mechanism and substrate processing apparatus
CN100440476C (en) * 2005-09-30 2008-12-03 东京毅力科创株式会社 Substrate placing mechanism and substrate processing device
US20100212832A1 (en) * 2005-12-28 2010-08-26 Sharp Kabushiki Kaisha Stage device and plasma treatment apparatus
KR20090132335A (en) 2008-06-20 2009-12-30 세크론 주식회사 Molding apparatus for semiconductor device
US8218284B2 (en) * 2008-07-24 2012-07-10 Hermes-Microvision, Inc. Apparatus for increasing electric conductivity to a semiconductor wafer substrate when exposure to electron beam
US8652260B2 (en) 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US9011602B2 (en) * 2009-01-29 2015-04-21 Lam Research Corporation Pin lifting system
JP2009164620A (en) * 2009-02-13 2009-07-23 Canon Anelva Corp Sputtering apparatus
US8230931B2 (en) 2009-12-29 2012-07-31 Hydril Usa Manufacturing Llc Lifting device and method for lifting a bonnet
JP5584517B2 (en) * 2010-05-12 2014-09-03 東京エレクトロン株式会社 Plasma processing apparatus and semiconductor device manufacturing method
JP5542743B2 (en) 2010-10-07 2014-07-09 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US20130333616A1 (en) 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020222771A1 (en) * 2019-04-29 2020-11-05 Applied Materials, Inc. Support pin apparatus for substrate processing chambers
US11282738B2 (en) 2019-12-16 2022-03-22 Samsung Electronics Co., Ltd. Lift pin module
US20240105476A1 (en) * 2022-09-23 2024-03-28 Intel Corporation System for coating method

Also Published As

Publication number Publication date
US10192770B2 (en) 2019-01-29
CN107078090A (en) 2017-08-18
CN107078090B (en) 2020-06-05
WO2016054397A1 (en) 2016-04-07
TW201618217A (en) 2016-05-16
KR102469123B1 (en) 2022-11-18
US20160099166A1 (en) 2016-04-07
KR20170063942A (en) 2017-06-08

Similar Documents

Publication Publication Date Title
US20190109036A1 (en) Spring-Loaded Pins For Susceptor Assembly And Processing Methods Using Same
US20230146344A1 (en) Apparatus and methods for semiconductor processing
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
TWI729101B (en) Apparatus and methods for wafer rotation in carousel susceptor
US11404302B2 (en) Substrate susceptor using edge purging
WO2017180856A1 (en) Micro-volume deposition chamber
US10351956B2 (en) Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
KR102374532B1 (en) Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ald
TWI734770B (en) Apparatus for prevention of backside deposition in a spatial ald process chamber
TWI722978B (en) Lamp heater for atomic layer deposition
US11581213B2 (en) Susceptor wafer chucks for bowed wafers
US20150376790A1 (en) Apparatus And Methods For Differential Pressure Chucking Of Substrates

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:YUDOVSKY, JOSEPH;REEL/FRAME:049237/0408

Effective date: 20150311

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION