US20110014858A1 - Grooved cmp polishing pad - Google Patents

Grooved cmp polishing pad Download PDF

Info

Publication number
US20110014858A1
US20110014858A1 US12/837,705 US83770510A US2011014858A1 US 20110014858 A1 US20110014858 A1 US 20110014858A1 US 83770510 A US83770510 A US 83770510A US 2011014858 A1 US2011014858 A1 US 2011014858A1
Authority
US
United States
Prior art keywords
mil
polishing
polishing pad
pad
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/837,705
Other languages
English (en)
Inventor
Ching-Ming Tsai
Fred Sun
Sheng-Huan Liu
Jia-Cheng Hsu
Ananth Naman
Hao-Kuang Chiu
Dinesh Khanna
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials Inc
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Priority to US12/837,705 priority Critical patent/US20110014858A1/en
Publication of US20110014858A1 publication Critical patent/US20110014858A1/en
Assigned to BANK OF AMERICA, N.A., AS ADMINISTRATIVE AGENT reassignment BANK OF AMERICA, N.A., AS ADMINISTRATIVE AGENT NOTICE OF SECURITY INTEREST IN PATENTS Assignors: CABOT MICROELECTRONICS CORPORATION
Assigned to CABOT MICROELECTRONICS CORPORATION reassignment CABOT MICROELECTRONICS CORPORATION RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: BANK OF AMERICA, N.A.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting

Definitions

  • the present invention relates generally to chemical mechanical polishing of substrates, and more particularly to a polishing pad having a grooved pattern for a chemical mechanical polishing system.
  • polishing compositions also known as polishing slurries, CMP slurries, and CMP compositions
  • CMP slurries typically contain an abrasive, various additive compounds, and the like.
  • Chemical-mechanical polishing involves the concurrent chemical and mechanical abrasion of surface, e.g., abrasion of an overlying first layer to expose the surface of a non-planar second layer on which the first layer is formed.
  • CMP chemical-mechanical polishing
  • One such process is described in U.S. Pat. No. 4,789,648 to Beyer et al. Briefly, Beyer el al., discloses a CMP process using a polishing pad and a slurry to remove a first layer at a faster rate than a second layer until the surface of the overlying first layer of material becomes coplanar with the upper surface of the covered second layer. More detailed explanations of chemical mechanical polishing are found in U.S. Pat. No. 4,671,851, No. 4,910,155 and No. 4,944,836.
  • a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus.
  • the carrier assembly provides a controllable pressure to the substrate, urging the substrate against the polishing pad.
  • the pad and carrier, with its attached substrate are moved relative to one another.
  • the relative movement of the pad and substrate serves to abrade the surface of the substrate to remove a portion of the material from the substrate surface, thereby polishing the substrate.
  • the polishing of the substrate surface typically is further aided by the chemical activity of the polishing composition (e.g., by oxidizing agents, acids, bases, or other additives present in the CMP composition) and/or the mechanical activity of an abrasive suspended in the polishing composition.
  • Typical abrasive materials include silicon dioxide, cerium oxide, aluminum oxide, zirconium oxide, and tin oxide.
  • polishing pads generally include some feature such as perforations or textures (e.g., grooves, surface depressions, and the like) to aid in distributing the abrasive polishing slurry relatively uniformly across the pad. Grooves are often a preferred texturing feature, because they can be designed to directly channel the excess slurry to where it is needed.
  • Grooved polishing pads are often characterized by the dimensions (e.g., width and depth) of the grooves and the spacing between the grooves (known as “pitch”). Examples of grooved pads include those disclosed in U.S. Pat. No. 5,921,855 to Osterheld et al., U.S. Pat. No. 6,520,847 to Osterheld et al., and U.S. Pat. No. 6,736,847 to James et al.
  • a pad comprises a surface defining a plurality of grooves with landing surfaces separating the grooves, the landing surfaces together defining a substantially planar polishing surface, each groove having a depth of at least about 10 mil and a width, W G , with any two adjacent grooves being separated from each other by a landing surface having a width, W L , wherein the quotient W L /W G is less than or equal to 3.
  • the surface of the pad defines a series of concentric, substantially circular grooves.
  • each groove has the same W G
  • each landing surface has the same W L .
  • the surface of the pad defines a spiral groove having a depth of at least about 10 mil and a width W G , and a spiral landing surface outlining the spiral groove.
  • the spiral landing surface has a width, W L , and defines a substantially planar publishing surface.
  • the quotient W L /W G is less than or equal to 3.
  • the polishing surface of the polishing pads of the present invention can be formed from any substance suitable for use in CMP pad construction.
  • the polishing surface of the pad is formed from a thermoplastic polyurethane material.
  • the pads can be constructed from a single layer of pad material or from multiple layers (e.g., a base layer and a surface layer).
  • polishing pads of the present invention provide an unexpected improvement in polishing removal rate uniformity over extended use (e.g., polishing of up to 650 semiconductor wafers) compared to a conventional grooved pad of similar construction, but with W L /W G equal to about 7.
  • FIG. 1 illustrates a top plan view of an embodiment of a polishing pad of the present invention including a plurality of circular, concentric grooves.
  • FIG. 2 provides a partial cross-sectional view of the pad of FIG. 1 .
  • FIG. 3 illustrates an embodiment of a polishing pad of the present invention including a single spiral groove in the polishing surface.
  • FIG. 4 shows a graph of copper removal rate versus number of wafers polished for a pad of the invention compared to a conventional reference pad.
  • FIG. 5 shows a graph of copper removal rate uniformity stability versus number of wafers polished for a pad of the invention compared to a conventional reference pad.
  • FIG. 6 shows a graph of pad wear rate for pads of the invention compared to a conventional reference pad.
  • a polishing pad of the present invention comprises a surface defining a plurality of grooves, preferably concentric and substantially circular grooves, with landing surfaces separating the grooves.
  • the landing surfaces together define a substantially coplanar polishing surface.
  • Each groove has a depth of at least about 10 mil and a width, W G , with any two adjacent grooves being separated by a landing surface having a width, W L , wherein the quotient W L /W G , is less than or equal to 3.
  • each of the plurality grooves has substantially the same depth, and/or substantially the same W G .
  • Each of the landing surfaces preferably has substantially the same W L , as well.
  • the width of each groove preferably is substantially uniform throughout the majority of the groove depth, although the bottom of the groove may be rounded, resulting in a decreasing width near the bottom of the groove.
  • FIG. 1 illustrates a top plan view of a polishing pad of the present invention.
  • Pad 10 includes a surface layer 12 defining concentric circular grooves 14 separated by landing surfaces 16 , with peripheral surface 18 framing the pad surface.
  • Landing surfaces 16 are substantially coplanar with each other, as are peripheral surface 18 and central surface 20 . Collectively, landing surfaces 16 define a substantially coplanar polishing surface.
  • FIG. 2 shows a partial cross-sectional view of surface 12 along plane 2 - 2 of FIG. 1 .
  • Surface layer 12 is affixed to base layer 22 .
  • Grooves 14 have a depth, D G , and a width W G
  • the landing surfaces 16 have a width, W L .
  • the distance from the beginning of one groove to the beginning of the next groove is defined as the pitch, P, which is equal to the sum of W L and W G .
  • W L /W G is less than or equal to 3.
  • Landing surfaces 16 are substantially coplanar, thereby forming a coplanar
  • a polishing pad of the present invention comprises a surface defining a spiral groove having a depth of at least about 10 mil with a spiral landing surface outlining the spiral groove.
  • the spiral landing surface defines a substantially planar polishing surface.
  • the groove has a width, W G
  • the landing surface has a width, W L , wherein the quotient W L /W G is less than or equal to 3.
  • FIG. 3 provides a top plan view of such an alternative embodiment.
  • Pad 30 includes a substantially planar surface layer 32 having a single spiral groove 34 formed therein, which is outlined by a nested spiral landing surface 36 .
  • the pitch, P which is equal to the sum of the widths of groove 34 and landing surface 36 , is also indicated in FIG. 3 .
  • each groove in the surface of the polishing pad preferably has a depth of not more than about 50 mil. In some preferred embodiments, the depth of each groove is in the range of about 10 to about 50 mil, more preferably about 15 to about 40 mil.
  • the quotient W L /W G in any given embodiment of the polishing pad of the present invention can less than or equal to about 2, or less than or equal to about 1.
  • W L for each landing surface is not more than about 80 mil. In other preferred embodiments, W L for each landing surface is in the range of about 30 to about 60 mil.
  • W G for each groove preferably is not more than about 50 mil. In some preferred embodiments, W G for each groove is in the range of about 20 mil to about 40 mil.
  • Table 1 illustrates some specific examples of different grooving dimensions suitable for polishing pads of the present invention.
  • the polishing pads of the present invention are particularly suited for use in conjunction with a chemical-mechanical polishing apparatus.
  • the CMP apparatus comprises a platen, which, when in use, is in motion and has a velocity that results from orbital, linear, and/or circular motion, a polishing pad in contact with the platen and moving relative to the platen when in motion, and a carrier that holds a substrate to be polished by contacting and moving relative to the surface of the polishing pad.
  • the polishing of the substrate takes place by the substrate being placed in contact with the polishing pad of the invention and then moving the polishing pad relative to the substrate, so as to abrade at least a portion of the substrate to polish the substrate.
  • Suitable materials for forming at least a portion of a polishing pad of the invention polishing pads include, for example, polymers of varying density, hardness, thickness, compressibility, ability to rebound upon compression, and compression modulus.
  • Non-limiting examples of such polymers include polyvinylchloride, polyvinyl fluoride, nylon, fluorocarbon, polycarbonate, polyester, polyacrylate, polyether, polyethylene, polyamide, polyurethane, polystyrene, polypropylene, coformed products thereof, and mixtures thereof.
  • the surface of the polishing pad defining the plurality of grooves can comprise any such material.
  • the surface defining the plurality of grooves or spiral groove comprises a thermoplastic polyurethane.
  • the pads of the present invention can be composed of a single layer of material or can include two or more layers of material, e.g., a base layer and a surface layer.
  • the CMP pads of the invention can further comprise at least one light-or other radiation-transmitting window region for in situ inspecting and monitoring a polishing process by analyzing the light or other radiation reflected from a surface of a workpiece being polished with the pad.
  • Many in situ polishing endpoint detection systems and techniques for inspecting and monitoring the polishing process by analyzing light or other radiation reflected from a surface of the workpiece are known in the art. Such methods are described, for example, in U.S. Pat. No. 5,196,353 to Sandhu et al., U.S. Pat. No. 5,433,651 to Lustig el al., U.S. Pat. No. 5,949,927 to Tang, and U.S. Pat. No.
  • the inspection or monitoring of the progress of the polishing process with respect to a workpiece being polished enables the determination of the polishing end-point, i.e., the determination of when to terminate the polishing process with respect to a particular workpiece.
  • This example illustrates the superior removal rate stability and removal uniformity stability obtainable in copper CMP utilizing a polishing pad of the present invention.
  • a polishing pad comprising a thermoplastic polyurethane surface layer including a series of concentric circular grooves each having a width, W G , of about 30 mil, separated by concentric landing surfaces having a width, W L , of 30 mil (pitch of 60 mil), with W L /W G equal to about 1.
  • polishing was repeatedly performed with the same pad on copper blanket wafers using the commercial polishing slurry C8800 (Cabot Microelectronics Corporation, Aurora, Ill.) on Mirra polisher under the following polishing conditions: down-force of 1 pounds-per-square inch (psi), platen speed of 93 revolutions-per-minute (rpm), carrier speed of 87 rpm, and a slurry feed rate of 100 milliliters-per-minute (mL/min).
  • polishing slurry C8800 Chemical Microelectronics Corporation, Aurora, Ill.
  • copper blanket wafers were also polished under the same conditions with a similar polyurethane polishing pad having concentric annular grooves separated by concentric annular landing surfaces, but having W L of about 70 mil and W G of about 10 mil (pitch of about 80 mil), with W L /W G of about 7.
  • FIG. 4 illustrates the change in copper removal rate versus number of wafers polished for each of the pads, showing the removal rates obtained at wafer 150 and wafer 650 .
  • the pad having a convention W L /W G of greater than 7 exhibited a decrease in Cu removal rate, while the pad of the present invention, having W L /W G of 1, exhibited an unexpected increase in Cu removal rate.
  • the observed removal uniformity stability percentage defined as WIWNU or with-in-wafer non-uniformity (i.e., relative standard deviation of Cu removal across 49 point diameter scan of entire wafer with 5 mm edge exclusion), obtained with each pad is graphed in FIG. 5 for the same wafers.
  • the pad of the present invention exhibited an unexpectedly consistent removal uniformity stability compared to the conventional pad.
  • This example illustrates the effect of the grooving configuration on pad wear rate.
  • Three polishing pads of the invention comprising a thermoplastic polyurethane surface layer including a series of concentric circular grooves were used for relative pad wear test.
  • the test was performed on an IPEC polisher with 7 ft-lb conditioning down force, 105 rpm platen speed, and 100 rpm conditioner rotational speed. Conditioner was from 3M Co (Model A188). D.I. water was used and the test last for 40 minutes. Wear rate was calculated using data from minute 10 to minute 40, and normalized to mil-per-hour by times 2.
  • a similar polyurethane polishing pad having concentric annular grooves separated by concentric annular landing surfaces, but having W L of about 70 mil and W G of about 10 mil (pitch of about 80 mil), with W L /W G of about 7 (Pad 80/10) was tested.
  • FIG. 6 provides a graph of pad wear rate in mil/hour for each of the pads examined.
  • the pad wear rate increases for a given groove width (e.g., 20 mil) as W L /W G decreases from 2 to 1 (Pads 60/20 and 40/20, respectively).
  • the wear rate also increases for a given pitch (e.g., 60 mil) as the groove width increases from 20 to 30 mil (Pads 60/20 and 60/30, respectively).

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Polishing Bodies And Polishing Tools (AREA)
US12/837,705 2009-07-16 2010-07-16 Grooved cmp polishing pad Abandoned US20110014858A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/837,705 US20110014858A1 (en) 2009-07-16 2010-07-16 Grooved cmp polishing pad

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US27106809P 2009-07-16 2009-07-16
US12/837,705 US20110014858A1 (en) 2009-07-16 2010-07-16 Grooved cmp polishing pad

Publications (1)

Publication Number Publication Date
US20110014858A1 true US20110014858A1 (en) 2011-01-20

Family

ID=43450188

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/837,705 Abandoned US20110014858A1 (en) 2009-07-16 2010-07-16 Grooved cmp polishing pad

Country Status (7)

Country Link
US (1) US20110014858A1 (zh)
JP (1) JP2012533888A (zh)
KR (1) KR101478414B1 (zh)
CN (1) CN102498549A (zh)
SG (2) SG177625A1 (zh)
TW (1) TWI519384B (zh)
WO (1) WO2011008918A2 (zh)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120146273A1 (en) * 2009-04-22 2012-06-14 Tiefenboeck Herbert Receiving device for receiving semiconductor substrates
US20130072091A1 (en) * 2011-09-15 2013-03-21 Siltronic Ag Method for the double-side polishing of a semiconductor wafer
WO2015057432A1 (en) * 2013-10-18 2015-04-23 Cabot Microelectronics Corporation Cmp polishing pad having edge exclusion region of offset concentric groove pattern
USD816774S1 (en) * 2016-03-25 2018-05-01 Craig Franklin Edevold Spiral pattern for cribbage board
USD855110S1 (en) * 2017-01-31 2019-07-30 Gary Peterson Game board
US11446788B2 (en) 2014-10-17 2022-09-20 Applied Materials, Inc. Precursor formulations for polishing pads produced by an additive manufacturing process
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11524384B2 (en) 2017-08-07 2022-12-13 Applied Materials, Inc. Abrasive delivery polishing pads and manufacturing methods thereof
US11685014B2 (en) 2018-09-04 2023-06-27 Applied Materials, Inc. Formulations for advanced polishing pads
US11724362B2 (en) 2014-10-17 2023-08-15 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US11772229B2 (en) 2016-01-19 2023-10-03 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
US11958162B2 (en) 2014-10-17 2024-04-16 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11964359B2 (en) 2015-10-30 2024-04-23 Applied Materials, Inc. Apparatus and method of forming a polishing article that has a desired zeta potential
US11986922B2 (en) 2015-11-06 2024-05-21 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US12023853B2 (en) 2019-12-02 2024-07-02 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014124718A (ja) * 2012-12-26 2014-07-07 Toyo Tire & Rubber Co Ltd 積層研磨パッドの製造方法
US10875146B2 (en) * 2016-03-24 2020-12-29 Rohm And Haas Electronic Materials Cmp Holdings Debris-removal groove for CMP polishing pad
US10786885B2 (en) * 2017-01-20 2020-09-29 Applied Materials, Inc. Thin plastic polishing article for CMP applications
CN112720282B (zh) * 2020-12-31 2022-04-08 湖北鼎汇微电子材料有限公司 一种抛光垫
CN113829176B (zh) * 2021-08-31 2023-04-14 北京航天控制仪器研究所 一种用于铍材镜体研磨抛光的研磨平板及研磨抛光方法
CN114274043B (zh) * 2021-12-29 2023-02-24 湖北鼎汇微电子材料有限公司 一种抛光垫

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6520847B2 (en) * 1997-05-15 2003-02-18 Applied Materials, Inc. Polishing pad having a grooved pattern for use in chemical mechanical polishing
US20040014413A1 (en) * 2002-06-03 2004-01-22 Jsr Corporation Polishing pad and multi-layer polishing pad
US7121938B2 (en) * 2002-04-03 2006-10-17 Toho Engineering Kabushiki Kaisha Polishing pad and method of fabricating semiconductor substrate using the pad
US7867066B2 (en) * 2004-12-29 2011-01-11 Toho Engineering Kabushiki Kaisha Polishing pad
US8192257B2 (en) * 2006-04-06 2012-06-05 Micron Technology, Inc. Method of manufacture of constant groove depth pads

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6736709B1 (en) * 2000-05-27 2004-05-18 Rodel Holdings, Inc. Grooved polishing pads for chemical mechanical planarization
DE60109601T2 (de) * 2000-05-27 2006-02-09 Rohm and Haas Electronic Materials CMP Holdings, Inc., Wilmington Rillen-polierkissen zum chemisch-mechanischen planarisieren
JP3849582B2 (ja) * 2002-06-03 2006-11-22 Jsr株式会社 研磨パッド及び複層型研磨パッド
JP3849594B2 (ja) * 2002-06-28 2006-11-22 Jsr株式会社 研磨パッド
JP2004071985A (ja) * 2002-08-08 2004-03-04 Jsr Corp 半導体ウェハ用研磨パッドの加工方法及び半導体ウェハ用研磨パッド
JP2004167605A (ja) * 2002-11-15 2004-06-17 Rodel Nitta Co 研磨パッドおよび研磨装置
CN101024260A (zh) * 2006-02-24 2007-08-29 三芳化学工业股份有限公司 具有表面纹路的抛光垫和其制造方法与制造装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6520847B2 (en) * 1997-05-15 2003-02-18 Applied Materials, Inc. Polishing pad having a grooved pattern for use in chemical mechanical polishing
US20040072516A1 (en) * 1997-05-15 2004-04-15 Osterheld Thomas H. Polishing pad having a grooved pattern for use in chemical mechanical polishing apparatus
US7121938B2 (en) * 2002-04-03 2006-10-17 Toho Engineering Kabushiki Kaisha Polishing pad and method of fabricating semiconductor substrate using the pad
US20070032182A1 (en) * 2002-04-03 2007-02-08 Toho Engineering Kabushiki Kaisha Polishing pad and method of fabricating semiconductor substrate using the pad
US20040014413A1 (en) * 2002-06-03 2004-01-22 Jsr Corporation Polishing pad and multi-layer polishing pad
US7867066B2 (en) * 2004-12-29 2011-01-11 Toho Engineering Kabushiki Kaisha Polishing pad
US8192257B2 (en) * 2006-04-06 2012-06-05 Micron Technology, Inc. Method of manufacture of constant groove depth pads

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120146273A1 (en) * 2009-04-22 2012-06-14 Tiefenboeck Herbert Receiving device for receiving semiconductor substrates
US9278433B2 (en) * 2009-04-22 2016-03-08 Ev Group Gmbh Receiving device for receiving semiconductor substrates
US20130072091A1 (en) * 2011-09-15 2013-03-21 Siltronic Ag Method for the double-side polishing of a semiconductor wafer
CN102990505A (zh) * 2011-09-15 2013-03-27 硅电子股份公司 半导体晶片双面抛光的方法
US20140370786A1 (en) * 2011-09-15 2014-12-18 Siltronic Ag Method for the double-side polishing of a semiconductor wafer
CN104476384A (zh) * 2011-09-15 2015-04-01 硅电子股份公司 半导体晶片双面抛光的方法
US9308619B2 (en) * 2011-09-15 2016-04-12 Siltronic Ag Method for the double-side polishing of a semiconductor wafer
WO2015057432A1 (en) * 2013-10-18 2015-04-23 Cabot Microelectronics Corporation Cmp polishing pad having edge exclusion region of offset concentric groove pattern
US11446788B2 (en) 2014-10-17 2022-09-20 Applied Materials, Inc. Precursor formulations for polishing pads produced by an additive manufacturing process
US11958162B2 (en) 2014-10-17 2024-04-16 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US11724362B2 (en) 2014-10-17 2023-08-15 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US11964359B2 (en) 2015-10-30 2024-04-23 Applied Materials, Inc. Apparatus and method of forming a polishing article that has a desired zeta potential
US11986922B2 (en) 2015-11-06 2024-05-21 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US11772229B2 (en) 2016-01-19 2023-10-03 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
USD816774S1 (en) * 2016-03-25 2018-05-01 Craig Franklin Edevold Spiral pattern for cribbage board
USD855110S1 (en) * 2017-01-31 2019-07-30 Gary Peterson Game board
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11980992B2 (en) 2017-07-26 2024-05-14 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11524384B2 (en) 2017-08-07 2022-12-13 Applied Materials, Inc. Abrasive delivery polishing pads and manufacturing methods thereof
US11685014B2 (en) 2018-09-04 2023-06-27 Applied Materials, Inc. Formulations for advanced polishing pads
US12023853B2 (en) 2019-12-02 2024-07-02 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ

Also Published As

Publication number Publication date
SG10201404152UA (en) 2014-09-26
WO2011008918A2 (en) 2011-01-20
WO2011008918A3 (en) 2011-04-28
KR101478414B1 (ko) 2014-12-31
KR20120042985A (ko) 2012-05-03
TW201121711A (en) 2011-07-01
TWI519384B (zh) 2016-02-01
JP2012533888A (ja) 2012-12-27
SG177625A1 (en) 2012-02-28
CN102498549A (zh) 2012-06-13

Similar Documents

Publication Publication Date Title
US20110014858A1 (en) Grooved cmp polishing pad
US8133096B2 (en) Multi-phase polishing pad
CN1312742C (zh) 抛光垫、抛光机及制造半导体器件的方法
US8485863B2 (en) Polishing liquids for activating and/or conditioning fixed abrasive polishing pads, and associated systems and methods
US20100273399A1 (en) Cmp porous pad with particles in a polymeric matrix
US7070480B2 (en) Method and apparatus for polishing substrates
JP2017208530A (ja) Cmp研磨パッドのための研磨くず除去溝
US6390891B1 (en) Method and apparatus for improved stability chemical mechanical polishing
US20020058426A1 (en) Chemical mechanical planarization of metal substrates
US11355346B2 (en) Methods for processing semiconductor wafers having a polycrystalline finish
WO2018063242A1 (en) Chemical-mechanical planarization (cmp) pad conditioner brush-and-abrasive hybrid for multi-step, preparation- and restoration-conditioning process of cmp pad
US6645052B2 (en) Method and apparatus for controlling CMP pad surface finish
US7198549B2 (en) Continuous contour polishing of a multi-material surface
WO2017053685A1 (en) Polyurethane cmp pads having a high modulus ratio
WO2021100393A1 (ja) ウェーハの研磨方法及びシリコンウェーハ
US6300248B1 (en) On-chip pad conditioning for chemical mechanical polishing
JP3601937B2 (ja) 表面平坦化方法および表面平坦化装置
JP6193652B2 (ja) 研磨パッドおよび化学的機械的研磨装置
US20060046627A1 (en) Method of improving planarization of urethane polishing pads, and urethane polishing pad produced by the same
JP2005271172A (ja) 研磨パッド
JP2005340325A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: BANK OF AMERICA, N.A., AS ADMINISTRATIVE AGENT, IL

Free format text: NOTICE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:CABOT MICROELECTRONICS CORPORATION;REEL/FRAME:027727/0275

Effective date: 20120213

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION

AS Assignment

Owner name: CABOT MICROELECTRONICS CORPORATION, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:BANK OF AMERICA, N.A.;REEL/FRAME:047587/0119

Effective date: 20181115