US20060196538A1 - Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers - Google Patents

Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers Download PDF

Info

Publication number
US20060196538A1
US20060196538A1 US11/416,871 US41687106A US2006196538A1 US 20060196538 A1 US20060196538 A1 US 20060196538A1 US 41687106 A US41687106 A US 41687106A US 2006196538 A1 US2006196538 A1 US 2006196538A1
Authority
US
United States
Prior art keywords
mainline
branchline
byproducts
trap
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/416,871
Inventor
David Kubista
Trung Doan
Lyle Breiner
Ronald Weimer
Kevin Beaman
Er-Xuan Ping
Lingyi Zheng
Cem Basceri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/416,871 priority Critical patent/US20060196538A1/en
Publication of US20060196538A1 publication Critical patent/US20060196538A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control

Definitions

  • the present invention is related to systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers.
  • Thin film deposition techniques are widely used in the manufacturing of microfeatures to form a coating on a workpiece that closely conforms to the surface topography.
  • the size of the individual components in the workpiece is constantly decreasing, and the number of layers in the workpiece is increasing.
  • both the density of components and the aspect ratios of depressions i.e., the ratio of the depth to the size of the opening
  • Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • CVD Chemical Vapor Deposition
  • one or more precursors that are capable of reacting to form a solid thin film are mixed while in a gaseous or vaporous state, and then the precursor mixture is presented to the surface of the workpiece.
  • the surface of the workpiece catalyzes the reaction between the precursors to form a solid thin film at the workpiece surface.
  • a common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials already formed on the workpiece. Implanted or doped materials, for example, can migrate within the silicon substrate at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the substrate. This is undesirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.
  • FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes.
  • a layer of gas molecules A coats the surface of a workpiece W.
  • the layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules and then purging the chamber with a purge gas to remove excess A molecules.
  • This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures.
  • the layer of A molecules is then exposed to another precursor gas containing B molecules.
  • the A molecules react with the B molecules to form an extremely thin layer of solid material on the workpiece W.
  • the chamber is then purged again with a purge gas to remove excess B molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques.
  • a typical cycle includes (a) exposing the workpiece to the first precursor A, (b) purging excess A molecules, (c) exposing the workpiece to the second precursor B, and then (d) purging excess B molecules.
  • several cycles are repeated to build a thin film on a workpiece having the desired thickness.
  • each cycle may form a layer having a thickness of approximately 0.5-1.0 ⁇ , and thus several cycles are required to form a solid layer having a thickness of approximately 60 ⁇ .
  • FIG. 3 schematically illustrates a single-wafer ALD reactor 10 having a reaction chamber 20 coupled to a gas supply 30 and a vacuum 40 .
  • the reactor 10 also includes a heater 50 that supports the workpiece W and a gas dispenser 60 in the reaction chamber 20 .
  • the gas dispenser 60 includes a plenum 62 operably coupled to the gas supply 30 and a distributor plate 70 having a plurality of holes 72 .
  • the heater 50 heats the workpiece W to a desired temperature
  • the gas supply 30 selectively injects the first precursor A, the purge gas, and the second precursor B, as shown above in FIG. 2 .
  • the vacuum 40 maintains a negative pressure in the reaction chamber 20 to draw the gases from the gas dispenser 60 across the workpiece W and then through an outlet of the reaction chamber 20 .
  • a trap 80 captures and collects the byproducts from the reaction chamber 20 to prevent fouling of the vacuum 40 .
  • ALD processing has a relatively low throughput compared to CVD techniques. For example, each A-purge-B-purge cycle can take several seconds. This results in a total process time of several minutes to form a single thin layer of only 60 ⁇ . In contrast to ALD processing, CVD techniques require only about one minute to form a 60 ⁇ thick layer. The low throughput limits the utility of the ALD technology in its current state because ALD may create a bottleneck in the overall manufacturing process.
  • Another drawback of both ALD and CVD processing is the downtime required to service or replace the trap.
  • the trap collects byproducts from the reaction chamber, the byproducts restrict the flow from the reaction chamber 20 to the vacuum 40 , and consequently, the pressure in the chamber increases.
  • the increased pressure in the reaction chamber impairs effective removal of the byproducts from the reaction chamber.
  • the trap is cleaned or replaced periodically to avoid significant increases in the pressure in the reaction chamber.
  • Servicing the trap requires that the reactor be shut down, which results in a reduction in throughput.
  • One approach to reduce the downtime of the reactor includes increasing the size of the trap. Although this approach reduces the downtime, a significant need still exists to eliminate the downtime required to service the trap and to maintain a consistent pressure in the reaction chamber.
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using ALD techniques in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reactor for depositing material onto a microfeature workpiece in accordance with the prior art.
  • FIG. 4 is a schematic representation of a system for depositing material onto a microfeature workpiece in accordance with one embodiment of the invention.
  • FIG. 5 is a schematic representation of a portion of a system for depositing material onto a workpiece in accordance with another embodiment of the invention.
  • FIG. 6 is a schematic representation of a portion of a system for depositing material onto a workpiece in accordance with another embodiment of the invention.
  • microfeature workpiece is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other features are fabricated.
  • microfeature workpieces can be semiconductor wafers such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials.
  • gas is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature).
  • a system includes a gas phase reaction chamber, a first exhaust line coupled to the reaction chamber, first and second traps each in fluid communication with the first exhaust line, and a vacuum pump coupled to the first exhaust line to remove gases from the reaction chamber.
  • the first and second traps are operable independently to individually and/or jointly collect byproducts from the reaction chamber.
  • the first exhaust line includes a first branchline and a second branchline each downstream from the reaction chamber. The first trap can be disposed in the first branchline and the second trap can be disposed in the second branchline.
  • the first and second branchlines can be configured in a parallel arrangement.
  • the system further includes a throttling valve in the second branchline, a pressure monitor, and a controller operably coupled to the throttling valve and the pressure monitor.
  • the pressure monitor can determine the difference between the pressure in the first exhaust line upstream from the first trap and the pressure in the first exhaust line downstream from the first trap.
  • the controller can operate the throttling valve to control the flow of byproducts into the second branchline to maintain the pressure differential in the first exhaust line within a desired range.
  • a system in another embodiment, includes a gas phase reaction chamber, a first exhaust line coupled to the reaction chamber, a trap in the first exhaust line to collect byproducts from the reaction chamber, and first and second vacuum pumps.
  • the first and second vacuum pumps are each in fluid communication with the first exhaust line and positioned downstream from the trap.
  • the first and second vacuum pumps are operable independently to individually and/or jointly exhaust byproducts from the reaction chamber.
  • the first exhaust line includes a first branchline and a second branchline each downstream from the reaction chamber.
  • the first vacuum pump can be coupled to the first branchline and the second vacuum pump can be coupled to the second branchline.
  • the system can also include a throttling valve in the second branchline to control the pressure in the first exhaust line.
  • Another aspect of the invention is directed to methods for removing byproducts from a reaction chamber through a first mainline.
  • the first mainline has first and second branchlines downstream from the reaction chamber.
  • the method includes exhausting byproducts from the reaction chamber through the first mainline and dynamically controlling the flow of byproducts into the second branchline of the first mainline to maintain a pressure differential in the first mainline within a desired range.
  • the method further includes collecting byproducts in a first trap in the first branchline of the first mainline and collecting byproducts in a second trap in the second branchline of the first mainline.
  • the method further includes monitoring the difference between the pressure in the first mainline upstream from the first trap and the pressure in the first mainline downstream from the first trap.
  • a throttling valve in the second branchline can be regulated to maintain the pressure differential within the desired range.
  • FIG. 4 is a schematic representation of a system 100 for depositing material onto a microfeature workpiece W in accordance with one embodiment of the invention.
  • the system 100 includes a reactor 110 having a reaction chamber 120 coupled to a gas supply 130 and a vacuum pump 140 .
  • the reactor 110 also includes a gas distributor 160 coupled to the reaction chamber 120 and the gas supply 130 to dispense gas(es) into the reaction chamber 120 and onto the workpiece W.
  • Byproducts including excess and/or unreacted gas molecules are removed from the reaction chamber 120 by the vacuum pump 140 and injecting a purge gas into the chamber 120 .
  • the gas supply 130 includes a plurality of gas sources 132 (identified individually as 132 a - c ) and a plurality of gas lines 136 coupled to the gas sources 132 .
  • the gas sources 132 can include a first gas source 132 a for providing a first gas, a second gas source 132 b for providing a second gas, and a third gas source 132 c for providing a third gas.
  • the first and second gases can be first and second precursors, respectively.
  • the third gas can be a purge gas.
  • the first and second precursors are the gas and/or vapor phase constituents that react to form the thin, solid layer on the workpiece W.
  • the purge gas can be a suitable type of gas that is compatible with the reaction chamber 120 and the workpiece W.
  • the gas supply 130 can include a different number of gas sources 132 for applications that require additional precursors or purge gases.
  • the gas sources 132 can include one or more etchants for deposition onto a microfeature workpiece during etching.
  • the system 100 of the illustrated embodiment further includes a valve assembly 133 coupled to the gas lines 136 and a controller 134 operably coupled to the valve assembly 133 .
  • the controller 134 generates signals to operate the valve assembly 133 to control the flow of gases into the reaction chamber 120 for ALD and CVD applications.
  • the controller 134 can be programmed to operate the valve assembly 133 to pulse the gases individually through the gas distributor 160 in ALD applications or to mix selected precursors in the gas distributor 160 in CVD applications. More specifically, in one embodiment of an ALD process, the controller 134 directs the valve assembly 133 to dispense a pulse of the first gas (e.g., the first precursor) into the reaction chamber 120 .
  • the first gas e.g., the first precursor
  • the controller 134 directs the valve assembly 133 to dispense a pulse of the third gas (e.g., the purge gas) to purge excess molecules of the first gas from the reaction chamber 120 .
  • the controller 134 then directs the valve assembly 133 to dispense a pulse of the second gas (e.g., the second precursor), followed by a pulse of the third gas.
  • the controller 134 directs the valve assembly 133 to dispense a pulse of the first and second gases (e.g., the first and second precursors) into the reaction chamber 120 .
  • the controller 134 directs the valve assembly 133 to dispense a pulse of the third gas (e.g., the purge gas) into the reaction chamber 120 .
  • the controller 134 can dispense the gases in other sequences.
  • the reactor 110 also includes a workpiece support 150 to hold the workpiece W in the reaction chamber 120 .
  • the workpiece support 150 can be heated to bring the workpiece W to a desired temperature for catalyzing the reaction between the first gas and the second gas at the surface of the workpiece W.
  • the workpiece support 150 can be a plate with a heating element. The workpiece support 150 , however, may not be heated in other applications.
  • the system 100 further includes an exhaust mainline 170 coupled to the vacuum pump 140 and the reaction chamber 120 to remove byproducts, including excess and/or unreacted gas molecules, from the reaction chamber 120 .
  • the mainline 170 includes an upstream portion 170 a, a downstream portion 170 b, a first branchline 172 a, and a second branchline 172 b.
  • the branchlines 172 a - b can be configured in a parallel arrangement and coupled to the upstream and downstream portions 170 a - b. Accordingly, discrete byproducts flow through either the first branchline 172 a or the second branchline 172 b.
  • the system 100 further includes a first trap 180 a disposed in the first branchline 172 a and a second trap 180 b disposed in the second branchline 172 b.
  • the traps 180 a - b capture and collect byproducts in the branchlines 172 a - b to prevent damage to the vacuum pump 140 .
  • the system can include a different number of branchlines and/or traps.
  • the system 100 further includes a throttling valve 190 in the second branchline 172 b, a valve controller 194 operably coupled to the throttling valve 190 , and a pressure monitor 198 operably coupled to the valve controller 194 .
  • the throttling valve 190 and the valve controller 194 regulate the flow of byproducts into the second branchline 172 b, and the pressure monitor 198 determines the pressure difference between the upstream and downstream portions 170 a - b of the mainline 170 .
  • the throttling valve 190 , the valve controller 194 , and the pressure monitor 198 can operate together to maintain the pressure in the upstream portion 170 a of the mainline 170 within a desired range.
  • the pressure differential across the first trap 180 a increases as the first trap 180 a collects byproducts because the byproducts in the first trap 180 a obstruct the flow from the reaction chamber 120 to the vacuum pump 140 .
  • the pressure monitor 198 detects this increase in the pressure differential across the first trap 180 a and sends a signal to the valve controller 194 .
  • the valve controller 194 at least partially opens the throttling valve 190 to allow some of the flow of byproducts to pass through the second branchline 172 b.
  • the throttling valve 190 is opened sufficiently to reduce the pressure differential in the upstream and downstream portions 170 a - b of the mainline 170 to within the desired range.
  • the system 100 can include a throttling valve in the first branchline 172 a that is coupled to the valve controller 194 .
  • One feature of this embodiment of the system 100 is that it maintains the pressure differential between the upstream and downstream portions 170 a - b of the mainline 170 as the traps 180 a - b collect byproducts. Accordingly, the pressure in the upstream portion 170 a and the reaction chamber 120 can remain generally consistent.
  • An advantage of this feature is that a consistent pressure in the reaction chamber 120 helps create a consistent flow through the reaction chamber 120 . More specifically, a consistent pressure facilitates the consistent, effective removal of byproducts, including excess and/or unreacted gas molecules, from the reaction chamber 120 .
  • the pressure in many prior art reaction chambers increases as the trap collects byproducts that obstruct the exhaust line. This increase in pressure (i.e., decrease in negative pressure) in the prior art reaction chambers impairs consistent, effective removal of the byproducts from the reaction chambers, and consequently, the byproducts may react with incoming gases.
  • the system 100 can include a plurality of valves 192 (identified individually as 192 a - c ) to selectively isolate the first and/or second traps 180 a - b for service or replacement.
  • the first branchline 172 a can include a first valve 192 a (shown in hidden lines) upstream from the first trap 180 a and a second valve 192 b (shown in hidden lines) downstream from the first trap 180 a.
  • the first and second valves 192 a - b can be closed to allow the first trap 180 a to be serviced or replaced without interrupting the deposition process of the system 100 .
  • the throttle valve 190 can be opened enough to exhaust the byproducts solely through the second branchline 172 b of the mainline 170 .
  • the first trap 180 a can then be replaced with a new trap without shutting down the system 100 .
  • the second branchline 172 b can include a third valve 192 c (shown in hidden lines) downstream from the second trap 180 b.
  • the throttling valve 190 and the third valve 192 c can be closed to allow the second trap 180 b to be serviced or replaced without interrupting the deposition process of the system 100 .
  • the system 100 may not include the valves 192 .
  • One feature of the illustrated embodiment is that the system 100 does not need to be shut down to replace and/or service the traps 180 .
  • Each trap 180 can be isolated for service or replacement, and while one trap 180 is serviced, the other trap 180 can collect byproducts.
  • An advantage of this feature is that the throughput of the system 100 is increased because the downtime resulting from servicing the traps 180 is reduced or eliminated.
  • FIG. 5 is a schematic representation of a portion of a system 200 for depositing material onto a workpiece in accordance with another embodiment of the invention.
  • the system 200 can be generally similar to the system 100 described above with reference to FIG. 4 .
  • the system 200 includes a reaction chamber 120 , a mainline 270 coupled to the reaction chamber 120 , and a trap 180 in the mainline 270 to capture and collect the byproducts from the reaction chamber 120 .
  • the mainline 270 includes a first branchline 272 a and a second branchline 272 b each downstream from the trap 180 .
  • the system 200 further includes a first vacuum pump 140 a coupled to the first branchline 272 a and a second vacuum pump 140 b coupled to the second branchline 272 b.
  • the system 200 includes a throttling valve 190 in the second branchline 272 b, a valve controller 194 operably coupled to the throttling valve 190 , and a pressure monitor 298 operably coupled to the valve controller 194 to determine the pressure in the mainline 270 downstream from the trap 180 .
  • the throttling valve 190 , the valve controller 194 , and the pressure monitor 298 can operate together to maintain a consistent pressure in the mainline 270 and/or maintain a consistent mass flow rate and/or fluid velocity of byproducts through the mainline 270 .
  • the pressure in the mainline 270 will increase and the throughput of byproducts through the mainline 270 will decrease.
  • the pressure monitor 298 detects the pressure increase and sends a signal to the valve controller 194 .
  • the valve controller 194 opens the throttling valve 190 sufficiently to allow the second vacuum pump 140 b to reduce the pressure in the mainline 270 to a desired range and to increase the throughput of byproducts in the mainline 270 to a consistent level.
  • the pressure monitor 298 can monitor the pressure differential in the mainline 270 upstream and downstream of the trap 180 (shown in broken line). In this embodiment, if the trap 180 is fouled, the pressure upstream from the trap 180 will increase. The valve controller 194 can accordingly open the valve 190 to reduce the pressure downstream from the trap 180 and thus increase the flow rate across the trap 180 .
  • the system 200 can include a different number of branchlines and vacuum pumps than shown in FIG. 5 , or the system 200 can include a throttling valve in the first branchline 272 a in still another embodiment.
  • the first branchline 272 a can include a valve 192 (shown in hidden lines) to control the flow through the first branchline 272 a.
  • the valve 192 allows the first vacuum pump 140 a to be serviced or replaced without interrupting the deposition process of the system 200 .
  • the second vacuum pump 140 b can continue to remove byproducts from the reaction chamber 120 .
  • One feature of the embodiment illustrated in FIG. 5 is that the system 200 does not need to be shut down to replace and/or service one of the vacuum pumps 140 because the valves 190 and 192 can isolate the vacuum pump 140 .
  • An advantage of this feature is that the throughput of the system 200 is increased because the downtime for servicing the vacuum pumps 140 is reduced or eliminated.
  • Another feature of this embodiment is that a consistent pressure can be maintained in the mainline 270 , and consequently, byproducts can be removed from the reaction chamber 120 at a consistent rate.
  • An advantage of this feature is that removing byproducts from the reaction chamber 120 at a consistent rate results in a more consistent deposition process and reduces the likelihood that byproducts may recirculate in the reaction chamber 120 and react with incoming gases.
  • FIG. 6 is a schematic representation of a portion of a system 300 for depositing material onto a workpiece in accordance with another embodiment of the invention.
  • the system 300 can be generally similar to the systems 100 and 200 described above with reference to FIGS. 4 and 5 .
  • the system 300 includes a reaction chamber 120 , a mainline 370 coupled to the reaction chamber 120 , a plurality of traps 180 (identified individually as 180 a - b ) in the mainline 370 , and a plurality of vacuum pumps 140 (identified individually as 140 a - b ) coupled to the mainline 370 .
  • the mainline 370 includes first and second branchlines 372 a - b configured in a parallel arrangement and third and fourth branchlines 372 c - d configured in a parallel arrangement downstream from the first and second branchlines 372 a - b.
  • a first trap 180 a is disposed in the first branchline 372 a
  • a second trap 180 b is disposed in the second branchline 372 b
  • a first vacuum pump 140 a is coupled to the third branchline 372 c
  • a second vacuum pump 140 b is coupled to the fourth branchline 372 d.
  • the system 300 of the illustrated embodiment can further include a first throttling valve 190 a in the second branchline 372 b, a second throttling valve 190 b in the fourth branchline 372 d, a valve controller 194 operably coupled to the throttling valves 190 a - b, and a pressure monitor 198 coupled to the valve controller 194 .
  • the pressure monitor 198 monitors the pressure difference between an upstream portion 370 a of the mainline 370 and a downstream portion 370 b of the mainline 370 .
  • the valve controller 194 can regulate the first throttling valve 190 a to create a desired pressure differential in the upstream and downstream portions 370 a - b of the mainline 370 . Moreover, as described above with reference to FIG. 5 , the valve controller 194 can regulate the second throttling valve 190 b to create a consistent pressure in the mainline 370 if the first vacuum pump 140 a is fouled.
  • the system 300 can further include a plurality of valves 192 (identified individually as 192 a - d ) to isolate the traps 180 a - b and/or vacuum pumps 140 a - b so that the traps 180 a - b and vacuum pumps 140 a - b can be serviced or replaced without interrupting the deposition process in the system 300 , as described above with reference to FIGS. 4 and 5 .
  • the system can include additional traps, vacuums, and/or branchlines.

Abstract

Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers are disclosed herein. In one embodiment, the system includes a gas phase reaction chamber, a first exhaust line coupled to the reaction chamber, first and second traps each in fluid communication with the first exhaust line, and a vacuum pump coupled to the first exhaust line to remove gases from the reaction chamber. The first and second traps are operable independently to individually and/or jointly collect byproducts from the reaction chamber. It is emphasized that this Abstract is provided to comply with the rules requiring an abstract. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.

Description

    TECHNICAL FIELD
  • The present invention is related to systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers.
  • BACKGROUND
  • Thin film deposition techniques are widely used in the manufacturing of microfeatures to form a coating on a workpiece that closely conforms to the surface topography. The size of the individual components in the workpiece is constantly decreasing, and the number of layers in the workpiece is increasing. As a result, both the density of components and the aspect ratios of depressions (i.e., the ratio of the depth to the size of the opening) are increasing. Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • One widely used thin film deposition technique is Chemical Vapor Deposition (CVD). In a CVD system, one or more precursors that are capable of reacting to form a solid thin film are mixed while in a gaseous or vaporous state, and then the precursor mixture is presented to the surface of the workpiece. The surface of the workpiece catalyzes the reaction between the precursors to form a solid thin film at the workpiece surface. A common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • Although CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials already formed on the workpiece. Implanted or doped materials, for example, can migrate within the silicon substrate at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the substrate. This is undesirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.
  • Atomic Layer Deposition (ALD) is another thin film deposition technique. FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes. Referring to FIG. 1A, a layer of gas molecules A coats the surface of a workpiece W. The layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules and then purging the chamber with a purge gas to remove excess A molecules. This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures. Referring to FIG. 1B, the layer of A molecules is then exposed to another precursor gas containing B molecules. The A molecules react with the B molecules to form an extremely thin layer of solid material on the workpiece W. The chamber is then purged again with a purge gas to remove excess B molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques. A typical cycle includes (a) exposing the workpiece to the first precursor A, (b) purging excess A molecules, (c) exposing the workpiece to the second precursor B, and then (d) purging excess B molecules. In actual processing, several cycles are repeated to build a thin film on a workpiece having the desired thickness. For example, each cycle may form a layer having a thickness of approximately 0.5-1.0 Å, and thus several cycles are required to form a solid layer having a thickness of approximately 60 Å.
  • FIG. 3 schematically illustrates a single-wafer ALD reactor 10 having a reaction chamber 20 coupled to a gas supply 30 and a vacuum 40. The reactor 10 also includes a heater 50 that supports the workpiece W and a gas dispenser 60 in the reaction chamber 20. The gas dispenser 60 includes a plenum 62 operably coupled to the gas supply 30 and a distributor plate 70 having a plurality of holes 72. In operation, the heater 50 heats the workpiece W to a desired temperature, and the gas supply 30 selectively injects the first precursor A, the purge gas, and the second precursor B, as shown above in FIG. 2. The vacuum 40 maintains a negative pressure in the reaction chamber 20 to draw the gases from the gas dispenser 60 across the workpiece W and then through an outlet of the reaction chamber 20. A trap 80 captures and collects the byproducts from the reaction chamber 20 to prevent fouling of the vacuum 40.
  • One drawback of ALD processing is that it has a relatively low throughput compared to CVD techniques. For example, each A-purge-B-purge cycle can take several seconds. This results in a total process time of several minutes to form a single thin layer of only 60 Å. In contrast to ALD processing, CVD techniques require only about one minute to form a 60 Å thick layer. The low throughput limits the utility of the ALD technology in its current state because ALD may create a bottleneck in the overall manufacturing process.
  • Another drawback of both ALD and CVD processing is the downtime required to service or replace the trap. As the trap collects byproducts from the reaction chamber, the byproducts restrict the flow from the reaction chamber 20 to the vacuum 40, and consequently, the pressure in the chamber increases. The increased pressure in the reaction chamber impairs effective removal of the byproducts from the reaction chamber. Accordingly, the trap is cleaned or replaced periodically to avoid significant increases in the pressure in the reaction chamber. Servicing the trap requires that the reactor be shut down, which results in a reduction in throughput. One approach to reduce the downtime of the reactor includes increasing the size of the trap. Although this approach reduces the downtime, a significant need still exists to eliminate the downtime required to service the trap and to maintain a consistent pressure in the reaction chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using ALD techniques in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reactor for depositing material onto a microfeature workpiece in accordance with the prior art.
  • FIG. 4 is a schematic representation of a system for depositing material onto a microfeature workpiece in accordance with one embodiment of the invention.
  • FIG. 5 is a schematic representation of a portion of a system for depositing material onto a workpiece in accordance with another embodiment of the invention.
  • FIG. 6 is a schematic representation of a portion of a system for depositing material onto a workpiece in accordance with another embodiment of the invention.
  • DETAILED DESCRIPTION
  • A. Overview
  • The following disclosure describes several embodiments of systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers. Many specific details of the invention are described below with reference to single-wafer reactors for depositing material onto microfeature workpieces, but several embodiments can be used in batch systems for processing a plurality of workpieces simultaneously. Moreover, several embodiments can be used for depositing material onto workpieces other than microfeature workpieces. The term “microfeature workpiece” is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other features are fabricated. For example, microfeature workpieces can be semiconductor wafers such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials. Furthermore, the term “gas” is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature). Several embodiments in accordance with the invention are set forth in FIGS. 4-6 and the following text to provide a thorough understanding of particular embodiments of the invention. A person skilled in the art will understand, however, that the invention may have additional embodiments, or that the invention may be practiced without several of the details of the embodiments shown in FIGS. 4-6.
  • One aspect of the invention is directed to systems for depositing material onto workpieces in reaction chambers. In one embodiment, a system includes a gas phase reaction chamber, a first exhaust line coupled to the reaction chamber, first and second traps each in fluid communication with the first exhaust line, and a vacuum pump coupled to the first exhaust line to remove gases from the reaction chamber. The first and second traps are operable independently to individually and/or jointly collect byproducts from the reaction chamber. In one aspect of this embodiment, the first exhaust line includes a first branchline and a second branchline each downstream from the reaction chamber. The first trap can be disposed in the first branchline and the second trap can be disposed in the second branchline. The first and second branchlines can be configured in a parallel arrangement. In another aspect of this embodiment, the system further includes a throttling valve in the second branchline, a pressure monitor, and a controller operably coupled to the throttling valve and the pressure monitor. The pressure monitor can determine the difference between the pressure in the first exhaust line upstream from the first trap and the pressure in the first exhaust line downstream from the first trap. The controller can operate the throttling valve to control the flow of byproducts into the second branchline to maintain the pressure differential in the first exhaust line within a desired range.
  • In another embodiment, a system includes a gas phase reaction chamber, a first exhaust line coupled to the reaction chamber, a trap in the first exhaust line to collect byproducts from the reaction chamber, and first and second vacuum pumps. The first and second vacuum pumps are each in fluid communication with the first exhaust line and positioned downstream from the trap. The first and second vacuum pumps are operable independently to individually and/or jointly exhaust byproducts from the reaction chamber. In one aspect of this embodiment, the first exhaust line includes a first branchline and a second branchline each downstream from the reaction chamber. The first vacuum pump can be coupled to the first branchline and the second vacuum pump can be coupled to the second branchline. The system can also include a throttling valve in the second branchline to control the pressure in the first exhaust line.
  • Another aspect of the invention is directed to methods for removing byproducts from a reaction chamber through a first mainline. The first mainline has first and second branchlines downstream from the reaction chamber. In one embodiment, the method includes exhausting byproducts from the reaction chamber through the first mainline and dynamically controlling the flow of byproducts into the second branchline of the first mainline to maintain a pressure differential in the first mainline within a desired range. In one aspect of this embodiment, the method further includes collecting byproducts in a first trap in the first branchline of the first mainline and collecting byproducts in a second trap in the second branchline of the first mainline. In another aspect of this embodiment, the method further includes monitoring the difference between the pressure in the first mainline upstream from the first trap and the pressure in the first mainline downstream from the first trap. In response to the monitored pressure differential, a throttling valve in the second branchline can be regulated to maintain the pressure differential within the desired range.
  • B. Deposition Systems
  • FIG. 4 is a schematic representation of a system 100 for depositing material onto a microfeature workpiece W in accordance with one embodiment of the invention. In this embodiment, the system 100 includes a reactor 110 having a reaction chamber 120 coupled to a gas supply 130 and a vacuum pump 140. The reactor 110 also includes a gas distributor 160 coupled to the reaction chamber 120 and the gas supply 130 to dispense gas(es) into the reaction chamber 120 and onto the workpiece W. Byproducts including excess and/or unreacted gas molecules are removed from the reaction chamber 120 by the vacuum pump 140 and injecting a purge gas into the chamber 120.
  • The gas supply 130 includes a plurality of gas sources 132 (identified individually as 132 a-c) and a plurality of gas lines 136 coupled to the gas sources 132. The gas sources 132 can include a first gas source 132 a for providing a first gas, a second gas source 132 b for providing a second gas, and a third gas source 132 c for providing a third gas. The first and second gases can be first and second precursors, respectively. The third gas can be a purge gas. The first and second precursors are the gas and/or vapor phase constituents that react to form the thin, solid layer on the workpiece W. The purge gas can be a suitable type of gas that is compatible with the reaction chamber 120 and the workpiece W. In other embodiments, the gas supply 130 can include a different number of gas sources 132 for applications that require additional precursors or purge gases. In additional embodiments, the gas sources 132 can include one or more etchants for deposition onto a microfeature workpiece during etching.
  • The system 100 of the illustrated embodiment further includes a valve assembly 133 coupled to the gas lines 136 and a controller 134 operably coupled to the valve assembly 133. The controller 134 generates signals to operate the valve assembly 133 to control the flow of gases into the reaction chamber 120 for ALD and CVD applications. For example, the controller 134 can be programmed to operate the valve assembly 133 to pulse the gases individually through the gas distributor 160 in ALD applications or to mix selected precursors in the gas distributor 160 in CVD applications. More specifically, in one embodiment of an ALD process, the controller 134 directs the valve assembly 133 to dispense a pulse of the first gas (e.g., the first precursor) into the reaction chamber 120. Next, the controller 134 directs the valve assembly 133 to dispense a pulse of the third gas (e.g., the purge gas) to purge excess molecules of the first gas from the reaction chamber 120. The controller 134 then directs the valve assembly 133 to dispense a pulse of the second gas (e.g., the second precursor), followed by a pulse of the third gas. In one embodiment of a pulsed CVD process, the controller 134 directs the valve assembly 133 to dispense a pulse of the first and second gases (e.g., the first and second precursors) into the reaction chamber 120. Next, the controller 134 directs the valve assembly 133 to dispense a pulse of the third gas (e.g., the purge gas) into the reaction chamber 120. In other embodiments, the controller 134 can dispense the gases in other sequences.
  • In the illustrated embodiment, the reactor 110 also includes a workpiece support 150 to hold the workpiece W in the reaction chamber 120. In one aspect of this embodiment, the workpiece support 150 can be heated to bring the workpiece W to a desired temperature for catalyzing the reaction between the first gas and the second gas at the surface of the workpiece W. For example, the workpiece support 150 can be a plate with a heating element. The workpiece support 150, however, may not be heated in other applications.
  • The system 100 further includes an exhaust mainline 170 coupled to the vacuum pump 140 and the reaction chamber 120 to remove byproducts, including excess and/or unreacted gas molecules, from the reaction chamber 120. The mainline 170 includes an upstream portion 170 a, a downstream portion 170 b, a first branchline 172 a, and a second branchline 172 b. The branchlines 172 a-b can be configured in a parallel arrangement and coupled to the upstream and downstream portions 170 a-b. Accordingly, discrete byproducts flow through either the first branchline 172 a or the second branchline 172 b. In this embodiment, the system 100 further includes a first trap 180 a disposed in the first branchline 172 a and a second trap 180 b disposed in the second branchline 172 b. The traps 180 a-b capture and collect byproducts in the branchlines 172 a-b to prevent damage to the vacuum pump 140. In other embodiments, the system can include a different number of branchlines and/or traps.
  • In one aspect of this embodiment, the system 100 further includes a throttling valve 190 in the second branchline 172 b, a valve controller 194 operably coupled to the throttling valve 190, and a pressure monitor 198 operably coupled to the valve controller 194. The throttling valve 190 and the valve controller 194 regulate the flow of byproducts into the second branchline 172 b, and the pressure monitor 198 determines the pressure difference between the upstream and downstream portions 170 a-b of the mainline 170. The throttling valve 190, the valve controller 194, and the pressure monitor 198 can operate together to maintain the pressure in the upstream portion 170 a of the mainline 170 within a desired range. For example, the pressure differential across the first trap 180 a increases as the first trap 180 a collects byproducts because the byproducts in the first trap 180 a obstruct the flow from the reaction chamber 120 to the vacuum pump 140. The pressure monitor 198 detects this increase in the pressure differential across the first trap 180 a and sends a signal to the valve controller 194. In response to the signal, the valve controller 194 at least partially opens the throttling valve 190 to allow some of the flow of byproducts to pass through the second branchline 172 b. The throttling valve 190 is opened sufficiently to reduce the pressure differential in the upstream and downstream portions 170 a-b of the mainline 170 to within the desired range. In additional embodiments, the system 100 can include a throttling valve in the first branchline 172 a that is coupled to the valve controller 194.
  • One feature of this embodiment of the system 100 is that it maintains the pressure differential between the upstream and downstream portions 170 a-b of the mainline 170 as the traps 180 a-b collect byproducts. Accordingly, the pressure in the upstream portion 170 a and the reaction chamber 120 can remain generally consistent. An advantage of this feature is that a consistent pressure in the reaction chamber 120 helps create a consistent flow through the reaction chamber 120. More specifically, a consistent pressure facilitates the consistent, effective removal of byproducts, including excess and/or unreacted gas molecules, from the reaction chamber 120. In contrast, the pressure in many prior art reaction chambers increases as the trap collects byproducts that obstruct the exhaust line. This increase in pressure (i.e., decrease in negative pressure) in the prior art reaction chambers impairs consistent, effective removal of the byproducts from the reaction chambers, and consequently, the byproducts may react with incoming gases.
  • In another aspect of the illustrated embodiment, the system 100 can include a plurality of valves 192 (identified individually as 192 a-c) to selectively isolate the first and/or second traps 180 a-b for service or replacement. The first branchline 172 a, for example, can include a first valve 192 a (shown in hidden lines) upstream from the first trap 180 a and a second valve 192 b (shown in hidden lines) downstream from the first trap 180 a. The first and second valves 192 a-b can be closed to allow the first trap 180 a to be serviced or replaced without interrupting the deposition process of the system 100. For example, when the first and second valves 192 a-b are closed, the throttle valve 190 can be opened enough to exhaust the byproducts solely through the second branchline 172 b of the mainline 170. The first trap 180 a can then be replaced with a new trap without shutting down the system 100. Similarly, the second branchline 172 b can include a third valve 192 c (shown in hidden lines) downstream from the second trap 180 b. The throttling valve 190 and the third valve 192 c can be closed to allow the second trap 180 b to be serviced or replaced without interrupting the deposition process of the system 100. In other embodiments, the system 100 may not include the valves 192.
  • One feature of the illustrated embodiment is that the system 100 does not need to be shut down to replace and/or service the traps 180. Each trap 180 can be isolated for service or replacement, and while one trap 180 is serviced, the other trap 180 can collect byproducts. An advantage of this feature is that the throughput of the system 100 is increased because the downtime resulting from servicing the traps 180 is reduced or eliminated.
  • C. Other Systems to Remove Byproducts
  • FIG. 5 is a schematic representation of a portion of a system 200 for depositing material onto a workpiece in accordance with another embodiment of the invention. The system 200 can be generally similar to the system 100 described above with reference to FIG. 4. For example, the system 200 includes a reaction chamber 120, a mainline 270 coupled to the reaction chamber 120, and a trap 180 in the mainline 270 to capture and collect the byproducts from the reaction chamber 120. The mainline 270 includes a first branchline 272 a and a second branchline 272 b each downstream from the trap 180. The system 200 further includes a first vacuum pump 140 a coupled to the first branchline 272 a and a second vacuum pump 140 b coupled to the second branchline 272 b.
  • In one aspect of this embodiment, the system 200 includes a throttling valve 190 in the second branchline 272 b, a valve controller 194 operably coupled to the throttling valve 190, and a pressure monitor 298 operably coupled to the valve controller 194 to determine the pressure in the mainline 270 downstream from the trap 180. The throttling valve 190, the valve controller 194, and the pressure monitor 298 can operate together to maintain a consistent pressure in the mainline 270 and/or maintain a consistent mass flow rate and/or fluid velocity of byproducts through the mainline 270. For example, in one embodiment, if the first vacuum pump 140 a is fouled because the trap 180 fails to capture all the byproducts in the mainline 270, the pressure in the mainline 270 will increase and the throughput of byproducts through the mainline 270 will decrease. The pressure monitor 298 detects the pressure increase and sends a signal to the valve controller 194. In response to the signal, the valve controller 194 opens the throttling valve 190 sufficiently to allow the second vacuum pump 140 b to reduce the pressure in the mainline 270 to a desired range and to increase the throughput of byproducts in the mainline 270 to a consistent level. In other embodiments, the pressure monitor 298 can monitor the pressure differential in the mainline 270 upstream and downstream of the trap 180 (shown in broken line). In this embodiment, if the trap 180 is fouled, the pressure upstream from the trap 180 will increase. The valve controller 194 can accordingly open the valve 190 to reduce the pressure downstream from the trap 180 and thus increase the flow rate across the trap 180. The system 200 can include a different number of branchlines and vacuum pumps than shown in FIG. 5, or the system 200 can include a throttling valve in the first branchline 272 a in still another embodiment.
  • In one aspect of this embodiment, the first branchline 272 a can include a valve 192 (shown in hidden lines) to control the flow through the first branchline 272 a. The valve 192 allows the first vacuum pump 140 a to be serviced or replaced without interrupting the deposition process of the system 200. For example, when the valve 192 is closed to service or replace the first vacuum pump 140 a, the second vacuum pump 140 b can continue to remove byproducts from the reaction chamber 120.
  • One feature of the embodiment illustrated in FIG. 5 is that the system 200 does not need to be shut down to replace and/or service one of the vacuum pumps 140 because the valves 190 and 192 can isolate the vacuum pump 140. An advantage of this feature is that the throughput of the system 200 is increased because the downtime for servicing the vacuum pumps 140 is reduced or eliminated. Another feature of this embodiment is that a consistent pressure can be maintained in the mainline 270, and consequently, byproducts can be removed from the reaction chamber 120 at a consistent rate. An advantage of this feature is that removing byproducts from the reaction chamber 120 at a consistent rate results in a more consistent deposition process and reduces the likelihood that byproducts may recirculate in the reaction chamber 120 and react with incoming gases.
  • FIG. 6 is a schematic representation of a portion of a system 300 for depositing material onto a workpiece in accordance with another embodiment of the invention. The system 300 can be generally similar to the systems 100 and 200 described above with reference to FIGS. 4 and 5. For example, the system 300 includes a reaction chamber 120, a mainline 370 coupled to the reaction chamber 120, a plurality of traps 180 (identified individually as 180 a-b) in the mainline 370, and a plurality of vacuum pumps 140 (identified individually as 140 a-b) coupled to the mainline 370. The mainline 370 includes first and second branchlines 372 a-b configured in a parallel arrangement and third and fourth branchlines 372 c-d configured in a parallel arrangement downstream from the first and second branchlines 372 a-b. In the illustrated embodiment, a first trap 180 a is disposed in the first branchline 372 a, a second trap 180 b is disposed in the second branchline 372 b, a first vacuum pump 140 a is coupled to the third branchline 372 c, and a second vacuum pump 140 b is coupled to the fourth branchline 372 d.
  • The system 300 of the illustrated embodiment can further include a first throttling valve 190 a in the second branchline 372 b, a second throttling valve 190 b in the fourth branchline 372 d, a valve controller 194 operably coupled to the throttling valves 190 a-b, and a pressure monitor 198 coupled to the valve controller 194. The pressure monitor 198 monitors the pressure difference between an upstream portion 370 a of the mainline 370 and a downstream portion 370 b of the mainline 370. As described above with reference to FIG. 4, the valve controller 194 can regulate the first throttling valve 190 a to create a desired pressure differential in the upstream and downstream portions 370 a-b of the mainline 370. Moreover, as described above with reference to FIG. 5, the valve controller 194 can regulate the second throttling valve 190 b to create a consistent pressure in the mainline 370 if the first vacuum pump 140 a is fouled. The system 300 can further include a plurality of valves 192 (identified individually as 192 a-d) to isolate the traps 180 a-b and/or vacuum pumps 140 a-b so that the traps 180 a-b and vacuum pumps 140 a-b can be serviced or replaced without interrupting the deposition process in the system 300, as described above with reference to FIGS. 4 and 5. In other embodiments, the system can include additional traps, vacuums, and/or branchlines.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration but that various modifications may be made without deviating from the spirit and scope of the invention. Accordingly, the invention is not limited, except as by the appended claims.

Claims (23)

1-23. (canceled)
24. A method for removing byproducts from a reaction chamber through a first mainline, the first mainline being coupled to the reaction chamber and having first and second branchlines downstream from the reaction chamber, the method comprising:
exhausting byproducts from the reaction chamber through the first mainline;
collecting byproducts in a first trap in the first branchline of the first mainline; and
collecting byproducts in a second trap in the second branchline of the first mainline.
25. The method of claim 24, further comprising dynamically controlling the flow of byproducts into the second branchline to maintain a pressure differential in the first mainline within a desired range.
26. The method of claim 24, further comprising dynamically controlling the flow of byproducts into the second branchline to maintain a generally consistent throughput in the first mainline.
27. The method of claim 24, further comprising:
monitoring the difference between the pressure in the first mainline upstream from the first trap and the pressure in the first mainline downstream from the first trap; and
regulating a throttling valve in the second branchline in response to the monitored pressure differential in the first mainline to flow byproducts into the second branchline to maintain the pressure differential in the first mainline within a desired range.
28. The method of claim 24, further comprising:
closing a first valve in the first branchline upstream from the first trap and a second valve in the first branchline downstream from the first trap; and
servicing and/or replacing the first trap while collecting byproducts in the second trap.
29. The method of claim 24 wherein the first mainline further comprises a third branchline and a fourth branchline each downstream from the first and second branchlines, and wherein the method further comprises:
drawing byproducts from the reaction chamber through the first mainline with a first vacuum pump coupled to the third branchline of the first mainline; and
drawing byproducts from the reaction chamber through the first mainline with a second vacuum pump coupled to the fourth branchline of the first mainline.
30. A method for removing byproducts from a reaction chamber through a first mainline, the first mainline having first and second branchlines downstream from the reaction chamber, the method comprising:
exhausting byproducts from the reaction chamber through the first mainline; and
dynamically controlling the flow of byproducts into the second branchline of the first mainline to maintain a pressure differential in the first mainline within a desired range.
31. The method of claim 30, further comprising:
collecting byproducts in a first trap in the first branchline of the first mainline; and
collecting byproducts in a second trap in the second branchline of the first mainline.
32. The method of claim 30, further comprising:
monitoring the difference between the pressure in the first mainline upstream from a first trap and the pressure in the first mainline downstream from the first trap, the first trap being disposed in the first branchline;
wherein dynamically controlling the flow of byproducts comprises regulating a throttling valve in the second branchline in response to the monitored pressure differential in the first mainline to maintain the pressure differential in the first mainline within the desired range.
33. The method of claim 30 wherein the first mainline further comprises a third branchline and a fourth branchline each downstream from the first and second branchlines, and wherein the method further comprises:
drawing byproducts from the reaction chamber through the first mainline with a first vacuum pump coupled to the third branchline of the first mainline; and
drawing byproducts from the reaction chamber through the first mainline with a second vacuum pump coupled to the fourth branchline of the first mainline.
34. A method for removing byproducts from a reaction chamber, the method comprising:
exhausting byproducts from the reaction chamber through a first mainline;
collecting byproducts in a first trap in a first branchline of the first mainline;
monitoring the difference between the pressure in the first mainline upstream from the first trap and the pressure in the first mainline downstream from the first trap; and
regulating a throttling valve in a second branchline of the first mainline in response to the monitored pressure differential in the first mainline to flow byproducts into the second branchline to maintain the pressure differential in the first mainline within a desired range.
35. The method of claim 34, further comprising collecting byproducts in a second trap in the second branchline.
36. The method of claim 34 wherein the throttling valve comprises a first valve, and wherein the method further comprises:
closing a second valve in the first branchline upstream from the first trap and a third valve in the first branchline downstream from the first trap after regulating the first valve;
collecting byproducts in a second trap in the second branchline; and
servicing and/or replacing the first trap while collecting byproducts in the second trap.
37. The method of claim 34 wherein the first mainline further comprises a third branchline and a fourth branchline each downstream from the first and second branchlines, and wherein the method further comprises:
drawing byproducts from the reaction chamber through the first mainline with a first vacuum pump coupled to the third branchline of the first mainline; and
drawing byproducts from the reaction chamber through the first mainline with a second vacuum pump coupled to the fourth branchline of the first mainline.
38. A method for removing byproducts from a reaction chamber, the method comprising:
removing byproducts from the reaction chamber through a first mainline;
collecting byproducts in a first trap in a first branchline of the first mainline;
closing a first valve in the first branchline upstream from the first trap and a second valve in the first branchline downstream from the first trap;
servicing and/or replacing the first trap; and
collecting byproducts in a second trap in a second branchline of the first mainline while the first and second valves are closed.
39. The method of claim 38 wherein the first mainline further comprises a third branchline and a fourth branchline each downstream from the first and second branchlines, and wherein the method further comprises:
drawing byproducts from the reaction chamber through the first mainline with a first vacuum pump coupled to the third branchline of the first mainline; and
drawing byproducts from the reaction chamber through the first mainline with a second vacuum pump coupled to the fourth branchline of the first mainline.
40. A method for removing byproducts from a reaction chamber, the method comprising:
drawing byproducts from the reaction chamber through a first mainline with a first vacuum pump coupled to a first branchline of the first mainline; and
drawing byproducts from the reaction chamber through the first mainline with a second vacuum pump coupled to a second branchline of the first mainline.
41. The method of claim 40, further comprising collecting byproducts in a trap in the first mainline.
42. The method of claim 40 wherein the first mainline further comprises a third branchline and a fourth branchline each upstream from the first and second branchlines, and wherein the method further comprises:
collecting byproducts in a first trap in the third branchline of the first mainline; and
collecting byproducts in a second trap in the fourth branchline of the first mainline.
43. The method of claim 40, further comprising dynamically controlling a throttling valve in the second branchline to maintain a generally consistent throughput in the first mainline.
44. The method of claim 40, further comprising dynamically controlling a throttling valve in the second branchline to maintain a generally consistent vacuum conductance in the first mainline.
45. The method of claim 40, further comprising:
monitoring a pressure in the first mainline; and
regulating a throttling valve in the second branchline in response to the monitored pressure to maintain a generally consistent pressure in the first mainline.
US11/416,871 2003-10-15 2006-05-02 Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers Abandoned US20060196538A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/416,871 US20060196538A1 (en) 2003-10-15 2006-05-02 Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/687,458 US7647886B2 (en) 2003-10-15 2003-10-15 Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US11/416,871 US20060196538A1 (en) 2003-10-15 2006-05-02 Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/687,458 Division US7647886B2 (en) 2003-10-15 2003-10-15 Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers

Publications (1)

Publication Number Publication Date
US20060196538A1 true US20060196538A1 (en) 2006-09-07

Family

ID=34520982

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/687,458 Expired - Fee Related US7647886B2 (en) 2003-10-15 2003-10-15 Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US11/416,871 Abandoned US20060196538A1 (en) 2003-10-15 2006-05-02 Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/687,458 Expired - Fee Related US7647886B2 (en) 2003-10-15 2003-10-15 Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers

Country Status (1)

Country Link
US (2) US7647886B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100055297A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
US20110108128A1 (en) * 2008-07-04 2011-05-12 Katsushi Kishimoto Vacuum treatment apparatus and gas supply method
US20110143035A1 (en) * 2009-12-16 2011-06-16 Byoung Ha Cho Thin Film Deposition System and Method for Depositing Thin Film
US20110247561A1 (en) * 2007-02-21 2011-10-13 Micron Technology, Inc. Thermal Chemical Vapor Deposition Methods, and Thermal Chemical Vapor Deposition Systems
US9267204B2 (en) 2008-09-04 2016-02-23 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9714467B2 (en) 2014-02-10 2017-07-25 Tokyo Electron Limited Method for processing a substrate and substrate processing apparatus
US10480067B2 (en) 2016-02-03 2019-11-19 Tokyo Electron Limited Film deposition method
US10900121B2 (en) 2016-11-21 2021-01-26 Tokyo Electron Limited Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6893506B2 (en) * 2002-03-11 2005-05-17 Micron Technology, Inc. Atomic layer deposition apparatus and method
JP3985899B2 (en) * 2002-03-28 2007-10-03 株式会社日立国際電気 Substrate processing equipment
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
GB0607616D0 (en) * 2006-04-18 2006-05-31 Boc Group Plc Vacuum pumping system
WO2010024036A1 (en) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 Plasma processing device and method for cleaning plasma processing device
JP5257328B2 (en) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
KR101728933B1 (en) * 2010-07-14 2017-05-02 에스피티에스 테크놀러지스 리미티드 Process chamber pressure control system and method
US20120304930A1 (en) * 2011-06-03 2012-12-06 Gregory Scott Verdict Chamber exhaust in-situ cleaning for processing apparatuses
ES2467145T3 (en) * 2011-07-18 2014-06-12 Essilor International (Compagnie Générale d'Optique) Machine for coating an optical article with an anti-dirt coating composition and method for using the machine
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
US10695953B2 (en) 2012-05-31 2020-06-30 Aladdin Manufacturing Corporation Methods for manufacturing bulked continuous carpet filament
US9636860B2 (en) 2012-05-31 2017-05-02 Mohawk Industries, Inc. Method of manufacturing bulked continuous filament
US8597553B1 (en) 2012-05-31 2013-12-03 Mohawk Industries, Inc. Systems and methods for manufacturing bulked continuous filament
US9630353B2 (en) 2012-05-31 2017-04-25 Mohawk Industries, Inc. Method of manufacturing bulked continuous filament
US11045979B2 (en) 2012-05-31 2021-06-29 Aladdin Manufacturing Corporation Methods for manufacturing bulked continuous filament from recycled PET
US10538016B2 (en) 2012-05-31 2020-01-21 Aladdin Manufacturing Corporation Methods for manufacturing bulked continuous carpet filament
JP6040075B2 (en) * 2013-03-27 2016-12-07 株式会社アルバック Vacuum film forming apparatus and film forming method
DE102014105294A1 (en) 2014-04-14 2015-10-15 Aixtron Se Apparatus and method for exhaust gas purification on a CVD reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102477302B1 (en) * 2015-10-05 2022-12-13 주성엔지니어링(주) Substrate treatment apparatus having exhaust gas cracker and exhaust gas treatment method of the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
EP3573801B1 (en) 2017-01-30 2023-05-31 Aladdin Manufacturing Corporation Methods for manufacturing bulked continuous filament from colored recyled pet
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11279071B2 (en) * 2017-03-03 2022-03-22 Aladdin Manufacturing Corporation Method of manufacturing bulked continuous carpet filament
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN111093924A (en) 2017-09-15 2020-05-01 美国阿拉丁制造公司 Polyethylene terephthalate coloring process and system for making bulked carpet filaments
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11242622B2 (en) 2018-07-20 2022-02-08 Aladdin Manufacturing Corporation Bulked continuous carpet filament manufacturing from polytrimethylene terephthalate
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20210116703A (en) * 2019-02-13 2021-09-27 어플라이드 머티어리얼스, 인코포레이티드 Vacuum pumps for single and multiple process chamber flow stream sharing
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
JP2022084409A (en) * 2020-11-26 2022-06-07 株式会社島津製作所 Vacuum valve and estimation system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113621936A (en) * 2021-10-12 2021-11-09 陛通半导体设备(苏州)有限公司 Working method of vacuum pump system in vacuum coating and vacuum pump system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6402806B1 (en) * 1997-12-23 2002-06-11 Applied Materials, Inc. Method for unreacted precursor conversion and effluent removal
US6589023B2 (en) * 2001-10-09 2003-07-08 Applied Materials, Inc. Device and method for reducing vacuum pump energy consumption

Family Cites Families (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) 1897-03-23 Roller-bearing
FR1500185A (en) 1966-08-08 1967-11-03 Ct De Rech S Du Fer Blanc Electrolytic tinning process of a steel strip
GB1260300A (en) 1968-04-24 1972-01-12 Plessey Co Ltd IMPROVEMENTS IN OR RELATING TO THE PRODUCTION OF VAPOUR-DEPOSITED Nb3Sn CONDUCTOR MATERIAL
US3618919A (en) 1969-11-03 1971-11-09 Btu Eng Corp Adjustable heat and gas barrier
US3630881A (en) 1970-01-22 1971-12-28 Ibm Cathode-target assembly for rf sputtering apparatus
US3634212A (en) 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
SU598630A1 (en) 1974-08-15 1978-02-21 Предприятие П/Я Р-6707 Device for introducing into reaction chamber
US4018949A (en) 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4289061A (en) 1977-10-03 1981-09-15 Hooker Chemicals & Plastics Corp. Device and assembly for mounting parts
US4242182A (en) 1978-07-21 1980-12-30 Francine Popescu Bright tin electroplating bath
NL184695C (en) 1978-12-04 1989-10-02 Philips Nv BATH FOR THE STREAMLESS DEPOSIT OF TIN ON SUBSTRATES.
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
JPS5747706A (en) 1980-09-04 1982-03-18 Toshio Hirai Lump of silicon nitride containing ti and its manufacture
US4545136A (en) 1981-03-16 1985-10-08 Sovonics Solar Systems Isolation valve
US4826579A (en) 1982-06-25 1989-05-02 Cel Systems Corporation Electrolytic preparation of tin and other metals
US4438724A (en) 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4397753A (en) 1982-09-20 1983-08-09 Circuit Chemistry Corporation Solder stripping solution
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4681777A (en) 1986-05-05 1987-07-21 Engelken Robert D Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates
US4966646A (en) 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
JPH0668962B2 (en) 1987-12-21 1994-08-31 株式会社東芝 Vacuum device and method of performing process using the same
US4949669A (en) 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JP2888253B2 (en) 1989-07-20 1999-05-10 富士通株式会社 Chemical vapor deposition and apparatus for its implementation
JP2703813B2 (en) 1989-11-13 1998-01-26 昭和電工株式会社 Gas dispersion plate of fluidized bed type gas phase polymerization equipment
US4977106A (en) 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5223113A (en) 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
DE69103511T2 (en) 1990-12-28 1995-03-30 Hokkai Can Welded cans.
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5232749A (en) 1991-04-30 1993-08-03 Micron Technology, Inc. Formation of self-limiting films by photoemission induced vapor deposition
DE69213340T2 (en) 1991-05-30 1997-03-27 Hitachi Ltd Valve and its use in a device made of semiconductor material
JPH081923B2 (en) 1991-06-24 1996-01-10 ティーディーケイ株式会社 Clean transfer method and device
JP3238432B2 (en) 1991-08-27 2001-12-17 東芝機械株式会社 Multi-chamber type single wafer processing equipment
US5200023A (en) 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5172849A (en) 1991-09-25 1992-12-22 General Motors Corporation Method and apparatus for convection brazing of aluminum heat exchangers
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5592581A (en) 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5626936A (en) 1993-09-09 1997-05-06 Energy Pillow, Inc. Phase change insulation system
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
JP3394293B2 (en) 1993-09-20 2003-04-07 株式会社日立製作所 Method for transporting sample and method for manufacturing semiconductor device
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
KR950020993A (en) 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
FI95421C (en) 1993-12-23 1996-01-25 Heikki Ihantola Device and method for treating semiconductors, such as silicon wafer
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
KR960002534A (en) 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
US5418180A (en) 1994-06-14 1995-05-23 Micron Semiconductor, Inc. Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
JPH088194A (en) 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
KR100191291B1 (en) 1994-06-24 1999-06-15 하마다 야스유키(코가 노리스케) Seal assembly for heat treatment furnace using an atmospheric gas hydrogen gas
JP3468859B2 (en) 1994-08-16 2003-11-17 富士通株式会社 Gas phase processing apparatus and gas phase processing method
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3417751B2 (en) 1995-02-13 2003-06-16 株式会社東芝 Method for manufacturing semiconductor device
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
JP3246708B2 (en) * 1995-05-02 2002-01-15 東京エレクトロン株式会社 Trap device and unreacted process gas exhaust mechanism using the same
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5640751A (en) 1995-07-17 1997-06-24 Thermionics Laboratories, Inc. Vacuum flange
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5801104A (en) 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
US5536317A (en) 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a quartz crystal thickness/rate controller
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JP3768575B2 (en) * 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド CVD apparatus and chamber cleaning method
US5956613A (en) 1995-12-27 1999-09-21 Lsi Logic Corporation Method for improvement of TiN CVD film quality
US6663713B1 (en) * 1996-01-08 2003-12-16 Applied Materials Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5754390A (en) 1996-01-23 1998-05-19 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
US5908947A (en) 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
US6030902A (en) 1996-02-16 2000-02-29 Micron Technology Inc Apparatus and method for improving uniformity in batch processing of semiconductor wafers
US5895530A (en) 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
JP3386651B2 (en) * 1996-04-03 2003-03-17 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5792700A (en) 1996-05-31 1998-08-11 Micron Technology, Inc. Semiconductor processing method for providing large grain polysilicon films
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5746434A (en) 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
JP3310171B2 (en) 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
JP3901252B2 (en) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 Chemical vapor deposition equipment
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5865417A (en) 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5992463A (en) 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US5729896A (en) 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5833888A (en) 1996-12-31 1998-11-10 Atmi Ecosys Corporation Weeping weir gas/liquid interface structure
US5846275A (en) 1996-12-31 1998-12-08 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
US6062256A (en) 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US6328803B2 (en) * 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6419462B1 (en) 1997-02-24 2002-07-16 Ebara Corporation Positive displacement type liquid-delivery apparatus
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5994181A (en) 1997-05-19 1999-11-30 United Microelectronics Corp. Method for forming a DRAM cell electrode
EP0981710A4 (en) 1997-05-21 2003-08-13 Redwood Microsystems Inc Low-power thermopneumatic microvalve
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6109206A (en) 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5846330A (en) 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6089543A (en) 1997-07-11 2000-07-18 Applied Materials, Inc. Two-piece slit valve door with molded-in-place seal for a vacuum processing system
US6045620A (en) 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
US6534007B1 (en) 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6211078B1 (en) 1997-08-18 2001-04-03 Micron Technology, Inc. Method of improving resist adhesion for use in patterning conductive layers
US6048763A (en) 1997-08-21 2000-04-11 Micron Technology, Inc. Integrated capacitor bottom electrode with etch stop layer
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
JP3567070B2 (en) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6032923A (en) 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
JP3643474B2 (en) * 1998-01-30 2005-04-27 株式会社東芝 Semiconductor processing system and method of using semiconductor processing system
US6291337B1 (en) 1998-02-20 2001-09-18 Stmicroelectronics, Inc. Elimination of cracks generated after a rapid thermal process step of a semiconductor wafer
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
JP3813741B2 (en) 1998-06-04 2006-08-23 尚久 後藤 Plasma processing equipment
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6192827B1 (en) 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6358323B1 (en) 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6160243A (en) 1998-09-25 2000-12-12 Redwood Microsystems, Inc. Apparatus and method for controlling fluid in a micromachined boiler
DE19851824C2 (en) 1998-11-10 2002-04-04 Infineon Technologies Ag CVD reactor
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
TW364054B (en) 1998-12-31 1999-07-11 United Microelectronics Corp Measurement tool for distance between shower head and heater platform
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6347918B1 (en) 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6197119B1 (en) 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6237394B1 (en) 1999-02-25 2001-05-29 Redwood Microsystems, Inc. Apparatus and method for correcting drift in a sensor
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2000256856A (en) * 1999-03-11 2000-09-19 Tokyo Electron Ltd Treating device, vacuum exhaust system for treating device, vacuum cvd device, vacuum exhaust system for vacuum cvd device and trapping device
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP2000306884A (en) 1999-04-22 2000-11-02 Mitsubishi Electric Corp Apparatus and method for plasma treatment
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6214714B1 (en) 1999-06-25 2001-04-10 Applied Materials, Inc. Method of titanium/titanium nitride integration
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6123107A (en) 1999-07-09 2000-09-26 Redwood Microsystems, Inc. Apparatus and method for mounting micromechanical fluid control components
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6178660B1 (en) 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001077088A (en) 1999-09-02 2001-03-23 Tokyo Electron Ltd Plasma processing device
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6309161B1 (en) 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6705345B1 (en) 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
JP2001148378A (en) 1999-11-22 2001-05-29 Tokyo Electron Ltd Plasma processing apparatus, cluster tool and plasma control method
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6277763B1 (en) * 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
US6596085B1 (en) 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
US6237529B1 (en) 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP2001261375A (en) 2000-03-14 2001-09-26 Toshiba Ceramics Co Ltd Ceramic-coated quartz glass body
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
JP3595756B2 (en) 2000-06-01 2004-12-02 キヤノン株式会社 Exposure apparatus, lithography apparatus, load lock apparatus, device manufacturing method, and lithography method
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6420742B1 (en) 2000-06-16 2002-07-16 Micron Technology, Inc. Ferroelectric memory transistor with high-k gate insulator and method of fabrication
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6290491B1 (en) 2000-06-29 2001-09-18 Motorola, Inc. Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (en) 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6602346B1 (en) 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
US6461931B1 (en) 2000-08-29 2002-10-08 Micron Technology, Inc. Thin dielectric films for DRAM storage capacitors
US6541353B1 (en) 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6420230B1 (en) 2000-08-31 2002-07-16 Micron Technology, Inc. Capacitor fabrication methods and capacitor constructions
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
JP2002164336A (en) 2000-11-27 2002-06-07 Sony Corp Gas injector and film-forming apparatus
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6770145B2 (en) * 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US6346477B1 (en) 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100384558B1 (en) 2001-02-22 2003-05-22 삼성전자주식회사 Method for forming dielectric layer and capacitor using thereof
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7378127B2 (en) 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20020129768A1 (en) 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US20020195201A1 (en) 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
TW548724B (en) 2001-07-13 2003-08-21 Asml Us Inc Modular injector and exhaust assembly
US20030027428A1 (en) 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6435865B1 (en) 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
JP2003045864A (en) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc Substrate processing system
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100431657B1 (en) 2001-09-25 2004-05-17 삼성전자주식회사 Method and apparatus for processing a wafer, method and apparatus for etching a wafer
KR100434493B1 (en) 2001-10-05 2004-06-05 삼성전자주식회사 Apparatus for atomic layer deposition and method for operating the same
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6686594B2 (en) 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
KR100450068B1 (en) 2001-11-23 2004-09-24 주성엔지니어링(주) Multi-sectored flat board type showerhead used in CVD apparatus
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6800172B2 (en) 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US20030192645A1 (en) 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6858264B2 (en) 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6861094B2 (en) 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6936086B2 (en) 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US7494560B2 (en) 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6402806B1 (en) * 1997-12-23 2002-06-11 Applied Materials, Inc. Method for unreacted precursor conversion and effluent removal
US6589023B2 (en) * 2001-10-09 2003-07-08 Applied Materials, Inc. Device and method for reducing vacuum pump energy consumption

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110247561A1 (en) * 2007-02-21 2011-10-13 Micron Technology, Inc. Thermal Chemical Vapor Deposition Methods, and Thermal Chemical Vapor Deposition Systems
US20110108128A1 (en) * 2008-07-04 2011-05-12 Katsushi Kishimoto Vacuum treatment apparatus and gas supply method
US20100055297A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
US9267204B2 (en) 2008-09-04 2016-02-23 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US20110143035A1 (en) * 2009-12-16 2011-06-16 Byoung Ha Cho Thin Film Deposition System and Method for Depositing Thin Film
US9714467B2 (en) 2014-02-10 2017-07-25 Tokyo Electron Limited Method for processing a substrate and substrate processing apparatus
US10151031B2 (en) 2014-02-10 2018-12-11 Tokyo Electron Limited Method for processing a substrate and substrate processing apparatus
US10480067B2 (en) 2016-02-03 2019-11-19 Tokyo Electron Limited Film deposition method
US10900121B2 (en) 2016-11-21 2021-01-26 Tokyo Electron Limited Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device

Also Published As

Publication number Publication date
US7647886B2 (en) 2010-01-19
US20050081786A1 (en) 2005-04-21

Similar Documents

Publication Publication Date Title
US7647886B2 (en) Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7427425B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7387685B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US7648578B1 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
JP4908738B2 (en) ALD method
EP1322797B1 (en) Method of growing a thin film onto a substrate
US7335396B2 (en) Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US6777352B2 (en) Variable flow deposition apparatus and method in semiconductor substrate processing
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR20120028305A (en) Method and apparatus for growing a thin film onto a substrate
US11626313B2 (en) Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US20070193637A1 (en) Systems and methods for controlling fluid flow
US20220243327A1 (en) Processing apparatus and processing method
JP2006216597A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION