TWI832452B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI832452B
TWI832452B TW111136938A TW111136938A TWI832452B TW I832452 B TWI832452 B TW I832452B TW 111136938 A TW111136938 A TW 111136938A TW 111136938 A TW111136938 A TW 111136938A TW I832452 B TWI832452 B TW I832452B
Authority
TW
Taiwan
Prior art keywords
gate
contact
layer
source
drain
Prior art date
Application number
TW111136938A
Other languages
English (en)
Other versions
TW202327046A (zh
Inventor
陳瑞麟
王朝勳
蘇信文
丁一峰
王奇樺
李怡鴻
涂元添
楊復凱
王美勻
王屏薇
洪連嶸
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202327046A publication Critical patent/TW202327046A/zh
Application granted granted Critical
Publication of TWI832452B publication Critical patent/TWI832452B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

依據本發明實施例的方法包含形成從基底突出的鰭狀結構;形成橫跨鰭狀結構的閘極結構;在閘極結構的側壁上形成閘極間隙壁;在鰭狀結構之上形成導電部件,閘極間隙壁橫向位於閘極結構與導電部件之間。此方法也包含在閘極結構和導電部件上方沉積介電層;進行蝕刻製程,進而形成通過介電層的開口,導電部件和閘極結構的頂表面暴露於開口中;將閘極間隙壁凹陷通過開口,進而暴露閘極結構的側壁;以及在開口中形成接觸部件,其中接觸部件接觸導電部件,並具有底部向下延伸接觸閘極結構的側壁。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其形成方法。
由氧化物半導體製成的薄膜電晶體(thin film transistor,TFT) 是後段(back end of line,BEOL)整合的一個有吸引力的選擇,因為薄膜電晶體可以在低溫下加工,因此不會損壞先前製造的前段(front end of line,FEOL)裝置。
積體電路(integrated circuit,IC)產業已經歷了快速成長。在積體電路材料和設計上的技術進步產生了數代積體電路,每一代都比前一代具有更小且更複雜的電路。在積體電路的發展史中,功能密度(即每一晶片區互連的裝置數目)增加,同時幾何尺寸(即製造過程中所產生的最小的組件或線路)縮小。此元件尺寸微縮化的製程提供增加生產效率與降低相關費用的益處。此元件尺寸微縮化也增加了加工和製造積體電路的複雜性。
舉例來說,積體電路(IC)技術朝向較小的技術節點進步,已引進多閘極裝置透過增加閘極通道耦合、降低關態電流及減少短通道效應(short-channel effects,SCEs)來改善閘極控制。多閘極裝置一般代表具有閘極結構或閘極結構的一部分設置於通道區多於一面上方的裝置。鰭式場效電晶體(Fin-like field effect transistors,FinFETs)和多橋接通道(multi-bridge-channel,MBC)電晶體為多閘極裝置的範例,多閘極裝置已成為高效能和低漏電應用的流行及有希望的候選裝置。鰭式場效電晶體具有透過閘極環繞多於一面(例如閘極環繞從基底延伸的半導體材料的“鰭”的頂部和側壁)之抬升的通道。多橋接通道電晶體具有可延伸以部分或完全環繞通道區的閘極結構,以在兩面或多於兩面上提供到通道區的路徑。由於多橋接通道電晶體的閘極結構圍繞通道區,多橋接通道電晶體也可被稱為環繞式閘極電晶體(surrounding gate transistor,SGT)或全繞式閘極(gate-all-around,GAA)電晶體。多橋接通道電晶體的通道區可由奈米線、奈米片或其他奈米結構形成,出於這個原因,多橋接通道電晶體也可被稱為奈米線電晶體或奈米片電晶體。
在使用多閘極裝置的一些積體電路中,閘極結構與源極/汲極部件之間的連接可透過各種接觸結構來實現。舉例來說,連接至閘極結構的閘極接點可透過對接接點(butted contact)耦接至連接至源極/汲極部件的源極/汲極接點。隨著裝置尺寸的不斷縮小,對接接點受限於閘極結構與源極/汲極接點之間用於連接的有限接觸面,這可能導致高接觸電阻。此外,對接接點並非自對準,且在微影步驟期間需要高對準精度(overlay accuracy),這可能增加成本。因此,雖然傳統的閘極到源極/汲極連接一般對於其預期目的為足夠的,但是這些閘極到源極/汲極連接並非在所有方面都令人滿意。
在一些實施例中,提供半導體裝置的形成方法,此方法包含形成從基底突出的鰭狀結構;形成橫跨鰭狀結構的閘極結構;在閘極結構的側壁上形成閘極間隙壁;在鰭狀結構之上形成導電部件,其中閘極間隙壁橫向位於閘極結構與導電部件之間;在閘極結構和導電部件上方沉積介電層;進行蝕刻製程,進而形成通過介電層的開口,其中導電部件和閘極結構的頂表面暴露於開口中;將閘極間隙壁凹陷通過開口,進而暴露閘極結構的側壁;以及在開口中形成接觸部件,其中接觸部件接觸導電部件,並具有底部向下延伸接觸閘極結構的側壁。
在一些實施例中,提供半導體裝置的形成方法,此方法包含形成沿第一方向縱向延伸的鰭狀結構,其中鰭狀結構縱向終止於末端表面;沉積包覆層與末端表面相接;在包覆層的側壁上沉積鰭間隙壁;在鰭狀結構上形成介電層;將鰭狀結構的源極/汲極區凹陷,以形成源極/汲極凹口;在源極/汲極凹口中沉積源極/汲極部件;在源極/汲極部件上形成源極/汲極接點;以閘極結構取代包覆層,其中閘極結構沿垂直於第一方向的第二方向縱向延伸,並與末端表面相接,且其中介電層位於源極/汲極接點與閘極結構之間;將介電層凹陷,進而形成開口;以及在開口中沉積接觸部件,其中接觸部件接觸源極/汲極接點和閘極結構。
在另外一些實施例中,提供半導體裝置,半導體裝置包含第一鰭和第二鰭,沿第一方向縱向延伸;第一閘極結構和第二閘極結構,沿垂直於第一方向的第二方向縱向延伸,其中第一閘極結構與第一鰭接合形成第一電晶體,第二閘極結構與第二鰭接合形成第二電晶體,且第二閘極結構接觸第一鰭的末端;第一電晶體的源極/汲極部件;源極/汲極接點,接觸源極/汲極部件;以及接觸結構,接觸源極/汲極接點和第二閘極結構的頂表面,其中接觸結構包含橫向位於源極/汲極接點與第二閘極結構的側壁之間的延伸部分。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“在...之上”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。具有相同參考符號的元素代表相同元件,且除非另有明確說明,否則假定具有相同的材料組成及相同的厚度範圍。再者,當用“約”、“近似”及類似術語描述數字或數字範圍時,除非另有規定,否則此術語目的在涵蓋在所描述的數字的+/-10%之內的數字。舉例來說,術語“約5nm” 涵蓋尺寸範圍從4.5nm至5.5nm。
本發明實施例一般有關於多閘極裝置的接觸結構,且特別有關於閘極結構與源極/汲極部件之間的連接。
積體電路製程流程通常可以分為三類:前段(front-end-of-line,FEOL)製程、中段(middle-end-of-line,MEOL)製程及後段(back-end-of-line,BEOL)製程。前段製程一般涵蓋與製造積體電路裝置(例如電晶體)有關的製程。舉例來說,前段製程可包含形成隔離部件、閘極結構及源極/汲極部件。中段製程一般涵蓋與製造連接積體電路裝置的導電部件的接點(例如連接閘極結構及/或源極/汲極部件的接點)有關的製程。後段製程一般涵蓋與製造多層互連(multilayer interconnect,MLI)部件有關的製程,多層互連部件與透過前段製程及中段製程製造的積體電路部件互連,進而啟用積體電路的操作。前段製程製造的部件可被稱為前段部件。中段製程製造的部件可被稱為中段部件。後段製程製造的部件可被稱為後段部件。
一些積體電路裝置包含前段結構之間的連接。舉例來說,一些靜態隨機存取記憶體(static random access memory,SRAM)單元包含一個電晶體的閘極結構與另一個電晶體的源極/汲極部件之間的連接。製造中段或甚至後段接觸部件(例如對接接點),以實現此連接。
第1圖為顯示組的靜態隨機存取記憶體單元的電路圖。依據本發明實施例,第1圖顯示兩相鄰的第一記憶體單元11和第二記憶體單元13的電路圖。第一記憶體單元11連接至第一位元線12和第二位元線14。在一範例中,第一位元線12為位元線BL,而第二位元線14為位元線BLB。額外地,第一記憶體單元11連接至第一字元線18,但是不連接至第二字元線19。因此,雖然兩個第一字元線18和第二字元線19都與第一記憶體單元11和第二記憶體單元13所在的行相關聯,但是第一記憶體單元11僅連接到兩個字元線中的一個。
更詳細來說,第一記憶體單元11通過第一通道閘極電晶體PG1的源極連接至第一位元線12。第一通道閘極電晶體PG1的閘極連接至第一字元線18。第一通道閘極電晶體PG1的汲極連接至第一上拉電晶體PU1的汲極、第一下拉電晶體PD1的源極、第二上拉電晶體PU2的閘極以及第二下拉電晶體PD2的閘極。第一上拉電晶體PU1的源極連接至源極電源電壓Vss,且第一下拉電晶體PD1的汲極連接至汲極電源電壓Vdd。相似地,第二上拉電晶體PU2的源極連接至源極電源電壓Vss,且第二下拉電晶體PD2的汲極連接至汲極電源電壓Vdd。再者,第一上拉電晶體PU1的閘極、第一下拉電晶體PD1的閘極、第二上拉電晶體PU2的汲極以及第二下拉電晶體PD2的源極皆連接至第二通道閘極電晶體PG2的源極。第二通道閘極電晶體PG2的閘極也連接至第一字元線18。第二通道閘極電晶體PG2的汲極連接至第二位元線14。第二記憶體單元13連接至第二位元線14,第一記憶體單元11和第二記憶體單元13共用第二位元線14。第二記憶體單元13也連接至另一位元線16。在此範例中,第二位元線14為位元線BLB,而另一位元線16為位元線BL。額外地,第二記憶體單元13連接至第二字元線19,但是不連接至第一字元線18。因此,雖然第一字元線18和第二字元線19皆與第一記憶體單元11和第二記憶體單元13所在的行相關聯,但是第二記憶體單元13僅連接到兩個字元線中的一個。
更詳細來說,第二記憶體單元13通過第一通道閘極電晶體PG1的源極連接至第二位元線14。第一通道閘極電晶體PG1的閘極連接至第二字元線19。第一通道閘極電晶體PG1的汲極連接至第一上拉電晶體PU1的汲極、第一下拉電晶體PD1的源極、第二上拉電晶體PU2的閘極以及第二下拉電晶體PD2的閘極。第一上拉電晶體PU1的源極連接至源極電源電壓Vss,且第一下拉電晶體PD1的汲極連接至汲極電源電壓Vdd。相似地,第二上拉電晶體PU2的源極連接至源極電源電壓Vss,且第二下拉電晶體PD2的汲極連接至汲極電源電壓Vdd。再者,第一上拉電晶體PU1的閘極、第一下拉電晶體PD1的閘極、第二上拉電晶體PU2的汲極以及第二下拉電晶體PD2的源極皆連接至第二通道閘極電晶體PG2的源極。第二通道閘極電晶體PG2的閘極也連接至第二字元線19。第二通道閘極電晶體PG2的汲極連接至另一位元線16。
第2圖顯示第1圖的一組靜態隨機存取記憶體單元的例示性布局。布局包含彼此平行的複數個主動區25及彼此平行的複數個閘極結構27。顯示的主動區25為在X方向延伸的拉長矩形,X方向平行於位元線BL/BLB。與n型金屬氧化物半導體裝置相關聯的主動區的寬度可不同於與p型金屬氧化物半導體裝置相關聯的主動區的寬度。如第2圖所示,在圖式的頂部及底部的主動區比在中心的兩個主動區更寬(在y方向)。顯示的閘極結構27為在Y方向延伸的拉長矩形,Y方向垂直於X方向。靜態隨機存取記憶體單元中的電晶體形成於主動區25與閘極結構27的交叉處。特別來說,兩相鄰的靜態隨機存取記憶體單元21和23顯示於第2圖中。對於靜態隨機存取記憶體單元21,顯示了第一通道閘極電晶體PG1、第一下拉電晶體PD1、第二上拉電晶體PU2、第一上拉電晶體PU1、第二下拉電晶體PD2和第二通道閘極電晶體PG2的位置。應注意的是,靜態隨機存取記憶體單元23對稱靜態隨機存取記憶體單元21(反映在Y方向上)。每個單元(靜態隨機存取記憶體單元21或23)在其自身內部是對稱的(反映在X方向和Y方向上)。
在一些實施例中,主動區為在第一方向延伸的鰭結構。主動區為在第一方向延伸的鰭結構。主動區可包含形成於基底上的半導體材料(例如鰭結構),並摻雜以在閘極的兩側形成源極/汲極區。可形成淺溝槽隔離(shallow trench isolation,STI)部件,以將主動區彼此隔離。在一些範例中,主動區可為在淺溝槽隔離部件上方突出的鰭主動區。在一些範例中,主動區或者可為平面主動區或具有垂直堆疊的多個通道的主動區(也被稱為全繞式閘極(GAA)結構)。在閘極結構的任一側上的主動區包含源極(或被稱為源極部件)和汲極(或被稱為汲極部件)。對應的閘極堆疊物在源極部件與汲極部件之間,以形成各種場效電晶體(field-effect transistors,FETs)。源極部件和汲極部件被統稱為源極/汲極(source/drain,S/D)部件。
第2圖也顯示了將電晶體連接至位元線BL或位元線BLB的導通孔連接22和24的位置。特別來說,導通孔連接22顯示連接到位元線 BL 或位元線 BLB 的位置。導通孔連接24顯示到字元線(未顯示)的連接。在一些範例中,可在位元線 BL/BLB之上的金屬層中形成字元線。在一些範例中,導通孔連接22和24可通過互連結構(未顯示)連接至上方金屬線。互連結構可包含各種接觸部件、導通孔部件及金屬線,以將場效電晶體和其他裝置連接至功能電路。互連結構可包含多個金屬層,每個金屬層具有複數個金屬線及導通孔部件,以垂直互連相鄰金屬層中的金屬線,例如位元線 BL/BLB或字元線。在一些範例中,對接接點28可為對接接觸部件(butted contact features,BCT)。對接接點28可位於主動區及閘極結構上。舉例來說,一個對接接點28(第2圖的左一)連接至第一上拉電晶體PU1和第二下拉電晶體PD2的共用閘極以及第二上拉電晶體PU2的源極/汲極部件。其他對接接點28相似地將閘極結構連接至相鄰電晶體的主動區(源極/汲極部件)。
第3圖顯示涉及一組靜態隨機存取記憶體單元中的複數層的剖面示意圖,其中這些層形成於半導體晶片或晶圓上,且包含示意性的對接接點Butted Contact。應注意的是,第3圖示意性地顯示互連結構及電晶體的各層,且可能不反應到此組靜態隨機存取記憶體單元實際的剖面示意圖。互連結構包含接點層Contact level、主動區層OD level(具有淺溝槽隔離區STI)、導通孔層Via_0 level、Via_1 level、Via_2 level和Via_3 level以及金屬層M1 level、M2 level、M3 level和M4 level(及/或其他更高的導通孔層和金屬層)。每個顯示層包含一個或多個介電層及形成於介電層中的導電部件。每個導通孔層包含對應的導通孔Via_0、Via_1、Via_2和Via_3,每個金屬層包含對應的金屬M1、M2、M3和M4。在相同層的導電部件可具有彼此大致相同水平高度的頂表面、大致相同水平高度的底表面,且可同時形成。接點層Contact level可包含閘極接點Gate_CO(也被稱為接觸插塞)及源極/汲極接點Contact,閘極接點用於將電晶體的閘極電極gate(例如顯示的例示性電晶體第一上拉電晶體PU1和第二上拉電晶體PU2)連接至上方層(例如導通孔層Via_0 level),源極/汲極接點用於將電晶體的源極/汲極區連接至上方層。對接接點Butted Contact包含延伸至閘極的第一接觸部分以及延伸至主動區的源極/汲極區的第二接觸部分,第一接觸部分和第二接觸部分組合在一個結構中。隨著裝置尺寸持續縮小,對接接點受限於閘極結構和源極/汲極接點相接的接觸表面,這可能導致高接觸電阻。此外,對接接點時常沒有自對準,且在微影步驟期間需要高對準精度,故可能增加製造成本。
本發明實施例提供半導體結構,半導體結構包含對接接點,以將電晶體的源極/汲極部件上的源極/汲極接點連接至另一電晶體的閘極結構。在一些實施例中,對接接點結構包含延伸部分,延伸部分向下延伸至橫向位於閘極結構與源極/汲極接點之間的位置。除了閘極結構和源極/汲極接點的頂表面之外,延伸部分在閘極結構和源極/汲極接點的側壁上提供額外的接觸面積。額外的接觸面積有效地減少了接觸電阻,並提供閘極結構與源極/汲極接點之間的低阻抗路徑。透過使用這樣的對接接點結構,可因此增強半導體結構的效能,半導體結構例如但不限於第2圖中的一組靜態隨機存取記憶體單元。
以下將參照第4-28圖進一步描述本發明實施例各方面形成對接接點的細節。第4圖顯示依據本發明實施例一個或多個方面,從工件形成半導體裝置的方法100的流程圖。方法100僅為範例,且不意圖將本發明實施例限制於方法100中明確說明的內容。可在方法100之前、期間及之後提供額外的步驟,且對於方法的其他實施例,可取代、消除或移動所描述的一些步驟。為了簡單起見,本文不詳細描述所有步驟。以下連結第5-28圖描述方法100,方法100顯示依據方法100的實施例,工件200在製造的不同階段的局部透視或剖面示意圖。第5和6圖顯示工件200的局部透視圖。第7-28圖顯示沿第6圖的線A-A及第2圖的線A-A的局部剖面示意圖,線A-A在X方向切割通過工件200的主動區。由於半導體裝置將從工件200形成,因此依據上下文的需要,工件200可以被稱為半導體裝置。雖然包含多橋接通道電晶體的實施例顯示於第5-27圖,但是本發明實施例不限於此,且可應用至其他多閘極裝置,例如第28圖顯示鰭式場效電晶體。多橋接通道電晶體可被稱為環繞式閘極電晶體、全繞式閘極電晶體、奈米片電晶體或奈米線電晶體。
請參照第4和5圖,方法100包含方塊102,其中接收工件200。請參照第5圖,工件200包含基底202及基底202之上的堆疊物204。在一些實施例中,基底202可為半導體基底,例如矽基底。基底202可包含各種層,包含形成於半導體基底上的導電層或絕緣層。取決於本領域已知的設計需求,基底202可包含各種摻雜配置。舉例來說,不同的摻雜輪廓(例如n型井、p型井)可形成於基底202設計用於不同裝置類型(例如n型場效電晶體(n-type field effect transistors,N-FET)和p型場效電晶體(p-type field effect transistors,P-FET))的區域中。合適的摻雜可包含摻雜物的離子佈植及/或擴散製程。基底202可在提供不同裝置類型的區域之間具有隔離部件(例如淺溝槽隔離(STI)部件)。基底202也可包含其他半導體,例如鍺、碳化矽(SiC)、矽鍺(SiGe)或鑽石。或者,基底202可包含化合物半導體及/或合金半導體。再者,基底202可選擇性地包含磊晶層(epi-layer),可為應變基底以增強效能,基底202可包含絕緣層上覆矽(silicon-on-insulator,SOI)結構及/或具有其他合適的增強部件。
堆疊物204可包含交錯的複數個通道層208及複數個犧牲層206。通道層208及犧牲層206可具有不同的半導體組成。在一些實施例中,通道層208由矽(Si)形成,而犧牲層206由矽鍺(SiGe)形成。在這些實施例中,犧牲層206中額外的鍺成分允許選擇性移除或凹陷犧牲層206,而對通道層208沒有實質性損壞。在一些實施例中,犧牲層206和通道層208可透過使用磊晶製程來沉積。堆疊物204可透過使用化學氣相沉積技術沉積,例如化學氣相沉積技術(例如氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD))、分子束磊晶(molecular beam epitaxy,MBE)及/或其他合適的製程。犧牲層206和通道層208一個接一個交替沉積,以形成堆疊物204。應注意的是,3層犧牲層206和3層通道層交替且垂直排列,此僅為顯示目的,並且不旨在限制超出請求項中具體記載的內容。這些層的數量取決於所期望的工件200的通道層的數量。在一些實施例中,通道層208的數量在2與10之間。
請參照第4和6圖,方法100包含方塊104,其中將鰭狀結構212圖案化。為了圖案化目的,硬遮罩層210可形成於堆疊物204上方。硬遮罩層210可為單層或多層。在一範例中,硬遮罩層210為多層,且包含第一層209及第一層209上方的第二層211。在一些實施例中,第一層209由氮化矽形成,而第二層211由氧化矽形成。在一些替代實施例中,第一層209由矽鍺(SiGe)形成,而第二層211由矽(Si)形成。
如第6圖所示,每個鰭狀結構212包含由基底202的一部分形成的基部212B及由堆疊物204形成的堆疊物部分212S。堆疊物部分212S設置於基部212B上方。在一些實施例中,在方塊104,將堆疊物204和基底202圖案化,以形成鰭狀結構212。鰭狀結構212沿X方向縱向延伸,並沿Z方向從基底202垂直延伸。鰭狀結構212可透過使用合適的方法圖案化,合適的製程包含雙重圖案化或多重圖案化製程。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,材料層形成於基底上方,並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化材料層旁邊。接著,移除材料層,且可接著使用剩下的間隔物或心軸(mandrel)透過蝕刻堆疊物204及基底202將鰭狀結構212圖案化。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。在一些實施例中,鰭狀結構212可透過鰭切割製程來分段,以形成鰭切割開口213,如第6圖所示。每個鰭狀結構212具有暴露於鰭切割開口213中的末端表面215。
請參照第4和7圖,方法100包含方塊106,其中形成隔離部件214。在形成鰭狀結構212之後,隔離部件214形成於相鄰的鰭狀結構212之間。隔離部件214也可被稱為淺溝槽隔離(STI)部件。在一範例製程中,用於隔離部件214的介電材料先沉積於工件200上方,以介電材料填充鰭狀結構212之間的溝槽。用於隔離部件214的介電材料也沉積於鰭切割開口213中。在一些實施例中,介電材料可包含氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質、前述之組合及/或其他合適的材料。在各種範例中,介電材料可透過化學氣相沉積製程、次常壓化學氣相沉積(subatmospheric CVD,SACVD)製程、可流動化學氣相沉積(flowable CVD,FCVD)製程、原子層沉積製程、旋塗及/或其他合適的製程來沉積。接著,例如透過化學機械研磨(chemical mechanical polishing,CMP)製程可將沉積的介電材料薄化及平坦化,直到暴露硬遮罩層210的一部分。可進一步透過乾蝕刻製程、濕蝕刻製程及/或前述之組合將平坦化的介電材料凹陷,以形成隔離部件214。如第7圖所示,鰭狀結構212的堆疊物部分212S突出至隔離部件214之上,而隔離部件214圍繞基部212B。
請參照第4和8圖,方法100包含方塊108,其中在鰭狀結構212上方形成包覆層216。在一些實施例中,包覆層216可具有相似於犧牲層206的組成。在一範例中,包覆層216可由矽鍺(SiGe)形成,如同犧牲層206。這種共同的組成允許在後續的製程中選擇性移除犧牲層206和包覆層216。在一些實施例中,包覆層216可透過使用氣相磊晶(VPE)或分子束磊晶(MBE)順性性及磊晶成長。在一些替代實施例中,包覆層216可透過使用化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)或其他合適的沉積方法來沉積。包覆層216設置於鰭狀結構212的側壁上,例如設置於末端表面215上。在包覆層216的沉積並非選擇性的一些實施例中,方塊108的操作可包含回蝕刻製程,以移除在鰭狀結構212和隔離部件214的頂表面上的包覆層216。回蝕刻製程的範例可為乾蝕刻製程,乾蝕刻製程包含使用溴化氫(HBr)、氧(O 2)、氯(Cl 2)或前述之混合物。在一些範例中,包覆層216可具有厚度在約5nm與約10nm之間。
請參照第4和9圖,方法100包含方塊110,其中在鰭狀結構212之間形成填充鰭切割開口213的鰭間隙壁218。由於鰭形狀的緣故,鰭間隙壁218也可被稱為介電鰭。在一範例中,介電層220順應性沉積於鰭切割開口213中,包含沿包覆層216的側壁及沿隔離部件214的頂表面順應性沉積。之後,介電層221沉積於介電層220上方。在至少一些實施例中,介電層220和221可被共同定義為鰭間隙壁218。在一些範例中,例如在將介電層220和221凹陷之後,鰭間隙壁218可更包含形成於介電層220和221上方的高介電常數介電層222。一般來說,在一些實施例中,介電層220和221可包含SiN、SiCN、SiOC、SiOCN、SiOx或其他合適的材料。在一些範例中,介電層220可包含低介電常數介電層,而介電層221可包含可流動氧化層。在各種情況中,介電層220和221可透過化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、旋塗及烘烤製程及/或其他合適的製程沉積。在一些範例中,在沉積介電層220和221之後,可進行化學機械研磨製程,以移除多餘的材料部分,並將工件200的頂表面平坦化。
方法100在方塊110可更包含凹陷製程、高介電常數介電層沉積製程及化學機械研磨製程。在一些實施例中,進行凹陷製程,以移除介電層220和221的頂部。在一些實施例中,凹陷製程可包含乾蝕刻、濕蝕刻及/或前述之組合。在一些實施例中,控制凹陷深度(例如透過控制蝕刻時間)來形成所期望的凹口深度。在凹陷製程之後,高介電常數介電層222沉積於透過凹陷製程形成的溝槽中。在一些實施例中,高介電常數介電層222可包含HfO 2、 ZrO 2、 HfAlOx、 HfSiOx、 Y 2O 3、 Al 2O 3或其他合適的高介電常數材料。高介電常數介電層222可透過化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程及/或其他合適製程來沉積。在沉積高介電常數介電層222之後,進行化學機械研磨製程,以移除多餘的材料部分,並將工件200的頂表面平坦化。因此,在各種情況中,鰭間隙壁218被定義為具有包含介電層220和221的凹陷部分的下部及包含高介電常數介電層222的上部。
請參照第4和10圖,方法100包含方塊112,其中在鰭狀結構212上方形成虛設閘極堆疊物230。在一些實施例中,虛設閘極堆疊物230形成於鰭狀結構212上方。在一些實施例中,在形成虛設閘極堆疊物230之前,例如透過選擇性蝕刻製程移除硬遮罩層210。在一些實施例中,採用閘極取代製程(或閘極後製製程),其中虛設閘極堆疊物230用作功能性閘極結構的佔位物。可能使用其他製程及配置。每個虛設閘極堆疊物230可包含設置於虛設介電層上方的虛設電極。
鰭狀結構212在虛設閘極堆疊物230下方的區域可被稱為通道區。鰭狀結構212中的每個通道區位於用於源極/汲極形成的兩個源極/汲極區之間。在一範例製程中,虛設介電層透過化學氣相沉積毯覆式沉積於工件200上方。接著,用於虛設閘極電極的材料層毯覆式沉積於虛設介電層上方。為了將材料層圖案化為虛設電極,閘極頂部硬遮罩(未顯示)沉積於材料層上方。閘極頂部硬遮罩可為多層,且包含氮化矽遮罩層及氮化矽遮罩層上方的氧化矽遮罩層。接著,使用光微影製程將用於虛設電極的材料層圖案化,以形成虛設電極。在一些實施例中,虛設介電層可包含氧化矽,而虛設電極可包含多晶矽(polycrystalline silicon,polysilicon)。在第10圖呈現的一些實施例中,一個虛設閘極堆疊物230沿鰭狀結構212的末端表面215沉積形成至少部分在包覆層216上方。包覆層216的頂表面直接接觸虛設閘極堆疊物230。
請參照第4和11圖,方法100包含方塊114,其中沿虛設閘極堆疊物230的側壁形成閘極間隙壁234。在形成閘極間隙壁步驟的一些實施例中,一層閘極間隙壁材料沉積於工件200上。此層閘極間隙壁材料可為順應層,且後續被回蝕刻,以形成閘極間隙壁234。在一些實施例中,此層間隙壁材料包含多層,例如第一間隔層232及形成於第一間隔層232上方的第二間隔層233。第一間隔層232和第二間隔層233各由合適的材料製成,例如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN及/或前述之組合。舉例來說,第一間隔層232和第二間隔層233可透過使用製程例如化學氣相沉積製程、可流動化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程或其他合適的製程依序沉積兩種不同的介電材料於虛設閘極堆疊物230上方來形成,但不限於此。可對沉積的第一間隔層232和第二間隔層233進行非等向性蝕刻製程,以暴露鰭狀結構212未被虛設閘極堆疊物230覆蓋的部分(例如在源極/汲極區)。第一間隔層232和第二間隔層233在虛設閘極堆疊物230正上方的部分可透過非等向性蝕刻製程完全移除。可保留第一間隔層232和第二間隔層233在虛設閘極堆疊物230的側壁上的部分,形成閘極側壁間隙壁,為了簡潔起見,以閘極間隙壁234表示。在一些實施例中,第一間隔層232由具有比氮化矽更低的介電常數的氧化矽形成,而第二間隔層233由氮化矽形成,其中氮化矽具有比氧化矽更高的抗蝕刻性,以用於後續的蝕刻製程(例如蝕刻源極/汲極凹口)。在一些其他實施例中,第一間隔層232由氮化矽形成,而第二間隔層233由氧化矽形成。在一些其他實施例中,第一間隔層232和第二間隔層233可皆由氮化矽形成,但是具有不同的氮濃度。在一些實施例中,閘極間隙壁234可用於偏移後續形成的摻雜區(例如源極/汲極區)。閘極間隙壁234可更用於設計或修改源極/汲極區輪廓。
請參照第4和12圖,方法100包含方塊116,其中將源極/汲極區凹陷,以形成源極/汲極溝槽236。以虛設閘極堆疊物230及閘極間隙壁234作為蝕刻遮罩,非等向性蝕刻工件200,以在源極/汲極區上方形成源極/汲極溝槽236。在一些實施例中,方塊106的操作可大致移除源極/汲極區中的鰭狀結構112的堆疊物部分,且源極/汲極溝槽236可延伸至由基底202形成的基部212B中。方塊116的非等向性蝕刻可包含乾蝕刻製程或合適的蝕刻製程。舉例來說,乾蝕刻製程可使用含氧氣體、氫、含氟氣體(例如CF 4、SF 6、CH 2F 2、CHF 3及/或C 2F 6)、含氯蝕刻氣體(例如Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴蝕刻氣體(例如HBr及/或CHBr 3)、含碘蝕刻氣體、其他合適的氣體及/或電漿或前述之組合。
請參照第4和13圖,方法100包含方塊118,其中形成內部間隙壁242。在一些實施例中,先選擇性且部分凹陷暴露於源極/汲極溝槽236中的犧牲層206,以形成內部間隙壁凹口(未顯示),而大致不蝕刻暴露的通道層208。在通道層208本質上由矽(Si)組成而犧牲層206本質上由矽鍺(SiGe)組成的實施例中,犧牲層206的選擇性及部分凹陷可包含SiGe氧化製程及之後的SiGe氧化物移除。在一些實施例中,SiGe氧化製程可包含臭氧的使用。在一些其他實施例中,選擇性凹陷可為選擇性等向性蝕刻製程(例如選擇性乾蝕刻製程或選擇性濕蝕刻製程),且透過蝕刻製程的持續時間控制犧牲層206凹陷的程度。選擇性乾蝕刻製程可包含使用一個或多個氟基蝕刻劑,例如氟氣體或氫氟碳化物。選擇性濕蝕刻製程可包含氟化氫(HF)或NH 4OH蝕刻劑。雖然包覆層216和犧牲層206可共用相似組成,但是包覆層216受到虛設閘極堆疊物230及虛設閘極堆疊物230上方的閘極間隙壁234保護而未被蝕刻。接著,內部間隙壁材料層透過化學氣相沉積或原子層沉積順應性沉積於工件200上方,包含沉積於內部間隙壁凹口上方及內部間隙壁凹口中。內部間隙壁材料層可包含氮化矽、氮碳氧化矽、氮碳化矽、氧化矽、碳氧化矽、碳化矽或氮氧化矽。在沉積內部間隙壁材料層之後,回蝕刻內部間隙壁材料層,以形成內部間隙壁242。
請參照第4和14圖,方法100包含方塊120,其中透過使用提供一個或多個磊晶材料的一個或多個磊晶製程在源極/汲極溝槽236中形成源極/汲極部件244。在磊晶生長製程期間,閘極間隙壁234將一個或多個磊晶材料限制在源極/漏極區。在一些實施例中,源極/汲極部件244的晶格常數不同於通道層208的晶格常數,使得將鰭狀結構212中且在源極/汲極部件244之間的通道區應變或受應力,以改善半導體裝置的載子移動性,並增強裝置效能。磊晶製程包含化學氣相沉積的沉積技術(例如電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)、氣相磊晶(VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶及/或其他合適的製程。磊晶製程可使用氣體前驅物及/或液體前驅物,這些前驅物與通道層208的組成反應。在一些實施例中,源極/汲極部件244可包含Ge、 Si、 GaAs、 AlGaAs、 SiGe、 GaAsP、 SiP或其他合適的材料。源極/汲極部件244可在磊晶製程期間透過引入摻雜物原位摻雜,摻雜物包含:p型摻雜物(例如硼或BF 2)、n型摻雜物(例如磷或砷)及/或包含前述之組合的其他合適的摻雜物。如果不對源極/汲極部件244原位摻雜,進行佈植製程(即接面佈植製程),以摻雜源極/汲極部件244。在一些範例實施例中,n型電晶體中的源極/汲極部件244包含SiP,而p型電晶體中的源極/汲極部件244包含GeSnB及/或SiGeSnB。在不同裝置類型的實施例中,遮罩(例如光阻)可形成於n型裝置區上方,而暴露p型裝置區,且p型磊晶結構可形成於p型裝置區中。接著,可移除遮罩。之後,遮罩(例如光阻)可形成於p型裝置區上方,而暴露n型裝置區,且n型磊晶結構可形成於n型裝置區中。接著,可移除遮罩。在形成源極/汲極部件244之後,可進行退火製程,以活化源極/汲極部件244中的p型摻雜物或n型摻雜物。退火製程可為例如快速熱退火(rapid thermal annealing,RTA)、雷射退火、毫秒熱退火(millisecond thermal annealing,MSA)製程或類似方法。如上所述,可使用閘極間隙壁234來設計或修改源極/汲極區輪廓。在第14圖顯示的實施例中,源極/汲極部件244包含尖端(tip),尖端延伸至閘極間隙壁234下方(特別為延伸至第二間隔層233下方)的位置。
請參照第4和15圖,方法100包含方塊122,其中沉積接觸蝕刻停止層(contact etch stop layer,CESL)246及層間介電(interlayer dielectric,ILD)層248。在一範例製程中,接觸蝕刻停止層246先順應性沉積於工件200上方,接著層間介電層248毯覆式沉積於接觸蝕刻停止層246上方。接觸蝕刻停止層246可包含氮化矽、氧化矽、氮氧化矽及/或本領域已知的其他材料。接觸蝕刻停止層246可透過使用原子層沉積、電漿輔助化學氣相沉積(PECVD)製程及/或其他合適的沉積或氧化製程沉積。在一些實施例中,層間介電層248包含材料例如四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG)及/或其他合適的介電材料。層間介電層248可透過旋塗、可流動化學氣相沉積製程或其他合適的沉積技術沉積。在一些實施例中,在形成層間介電層248之後,可將工件200退火,以改善層間介電層248的完整性。為了移除多餘材料及暴露虛設閘極堆疊物230的頂表面,可對工件200進行平坦化製程(例如化學機械研磨(CMP)製程),以提供平坦頂表面。虛設閘極堆疊物230的頂表面暴露於平坦頂表面。
請參照第4和16圖,方法100包含方塊124,其中移除虛設閘極堆疊物230,並釋放通道層208(也被稱為通道元件)。虛設閘極堆疊物230的移除在通道區上方形成閘極溝槽250。虛設閘極堆疊物230的移除可包含對虛設閘極堆疊物230中的材料有選擇性的一個或多個蝕刻製程。舉例來說,可使用選擇性濕蝕刻、選擇性乾蝕刻或前述之組合來進行虛設閘極堆疊物230的移除。在移除虛設閘極堆疊物230之後,通道區中的通道層208和犧牲層206的側壁暴露於閘極溝槽250中。可選擇性移除通道區中的通道層208之間暴露的犧牲層206,以釋放通道層208來形成通道元件(被標註為通道層208)。通道層208沿Z方向垂直堆疊。可透過選擇性乾蝕刻、選擇性濕蝕刻或其他選擇性蝕刻製程來進行犧牲層206的選擇性移除。在一些實施例中,選擇性濕蝕刻包含氫氧化氨-過氧化氫-水混合物(ammonia hydroxide-hydrogen peroxide-water mixture,APM)蝕刻。在一些替代實施例中,選擇性移除包含矽鍺氧化及之後的矽鍺氧化物移除。舉例來說,氧化可透過臭氧清潔來提供,接著透過蝕刻劑(例如NH 4OH)進行矽鍺氧化物移除。由於包覆層216與犧牲層206共用相似的組成,因此在方塊124也選擇性移除包覆層216。包覆層216的移除形成暴露鰭狀結構212的末端表面215的末端溝槽。鰭間隙壁218及內部間隙壁242的側壁及隔離部件214暴露於末端溝槽中。
請參照第4和17圖,方法100包含方塊126,其中在閘極溝槽250中(包含在末端溝槽中)形成取代閘極結構。取代閘極結構可為高介電常數金屬閘極(high-k metal gate,HK MG)堆疊物,然而可能有其他組成。在各種實施例中,取代閘極結構252包含界面層254、形成於界面層254上方的閘極介電層256以及形成於閘極介電層256上方的閘極電極層258。
依序沉積界面層254及閘極介電層256,以環繞每個通道層208。在一些實施例中,界面層254包含氧化矽,且可由預清潔製程形成。例示性的預清潔製程可包含使用RCA標準清潔-1(RCA standard clean-1,SC-1)(氨、過氧化氫及水)及/或RCA標準清潔-2(RCA standard clean-2,SC-2)(氫氯酸、過氧化氫及水)。預清潔製程將通道層208的暴露表面氧化,以形成界面層254。接著,閘極介電層256透過使用原子層沉積、化學氣相沉積及/或其他合適方法沉積於界面層254上方。為了清楚起件,放大區域260顯示,閘極介電層256順應性沉積於鰭間隙壁218及內部間隙壁242的側壁上。閘極介電層256可由高介電常數介電材料形成。如本文所用,高介電常數介電材料包含具有高介電常數(例如大於熱氧化矽的介電常數(~3.9))的介電材料。閘極介電層256可包含氧化鉿。替代地,閘極介電層256可包含其他高介電常數介電質,例如氧化鈦(TiO 2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta 2O 5)、氧化鉿矽(HfSiO 4)、氧化鋯(ZrO 2)、氧化鋯矽(ZrSiO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋯(ZrO)、氧化釔(Y 2O 3)、SrTiO 3(STO)、BaTiO 3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO 3(BST)、氮化矽(SiN)、 氮氧化矽(SiON)、前述之組合或其他合適的材料。
閘極電極層258後續沉積於閘極介電層256上。閘極電極層258可為包含至少一功函數層(未顯示)及金屬填充層(未顯示)的多層結構。舉例來說,至少一功函數層可包含氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、氮碳化鉭(TaCN)或碳化鉭(TaC)。金屬填充層可包含鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、氮化鉭矽(TaSiN)、銅(Cu)、其他耐火金屬或其他合適的金屬材料或前述之組合。在各種實施例中,閘極電極層258可透過原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍或其他合適的製程形成。在各種實施例中,可進行平坦化製程(例如化學機械研磨製程),以移除多餘材料,以提供取代閘極結構252的大致平坦頂表面。
請參照第4和18圖,方法100包含方塊128,其中進行回蝕刻製程,以回蝕刻取代閘極結構252,進而在取代閘極結構252上方形成凹口。在一些實施例中,由於取代閘極結構252的材料具有與閘極間隙壁234不同的蝕刻選擇性,因此選擇性蝕刻製程將取代閘極結構252下降至閘極間隙壁234之下。因此,取代閘極結構252的頂表面可在與閘極間隙壁234的頂表面不同的高度處。再者,如第18圖所示,回蝕刻的取代閘極結構252的頂表面可為不平坦的,例如具有傾斜(dip)。傾斜的底部可在鰭間隙壁218的頂表面之下。可在回蝕刻製程中控制不平坦輪廓(傾斜)。不平坦輪廓增加了取代閘極結構252的頂表面與將形成的對接接點之間的接觸表面,進而縮小接觸電阻。接著,閘極金屬蓋層262透過合適製程形成於回蝕刻的取代閘極結構252上,合適製程例如化學氣相沉積或原子層沉積。在一些實施例中,閘極金屬蓋層262透過使用由下而上方法形成於回蝕刻的取代閘極結構252上。舉例來說,閘極金屬蓋層262選擇性成長於金屬表面(例如功函數層和金屬填充層)上,因此閘極間隙壁234的側壁大致沒有成長閘極金屬蓋層262。舉例來說,閘極金屬蓋層262可為具有氟雜質小於5原子百分比及氯雜質大於3原子百分比的大致無氟鎢(fluorine-free tungsten,FFW)膜,但不限於此。
之後,閘極介電蓋層264沉積於閘極金屬蓋層262上方,直到過填充透過凹陷取代閘極結構252形成的凹口。閘極介電蓋層264包括SiN、SiC、SiCN、SiON、SiCON。閘極介電蓋層264透過合適的沉積技術形成,例如化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電漿輔助原子層沉積、前述之組合或類似方法。在沉積閘極介電蓋層264之後,進行化學機械研磨製程,以移除多餘的材料部分,並將工件200的頂表面平坦化。
請參照第4和19圖,方法100包含方塊130,其中形成延伸通過層間介電層248及接觸蝕刻停止層246的源極/汲極接點270。源極/汲極接點270的形成包含例如(但不限於此):進行一個或多個蝕刻製程,以形成延伸通過層間介電層248及接觸蝕刻停止層246的接觸開口,以暴露源極/汲極部件244;沉積一個或多個金屬材料,以過填充接觸開口;接著,進行化學機械研磨製程,以移除在接觸開口之外的多餘金屬材料。在一些實施例中,一個或多個蝕刻製程為蝕刻層間介電層248及接觸蝕刻停止層246的蝕刻速率大於蝕刻閘極介電蓋層264及閘極間隙壁234的蝕刻速率的選擇性蝕刻。因此,使用閘極介電蓋層264及閘極間隙壁234作為蝕刻遮罩來進行選擇性蝕刻,使得形成接觸開口(進而形成源極/汲極接點270)自對準源極/汲極部件244,而不使用額外的光微影製程。再者,也可以自對準方式移除在鰭間隙壁218之上的層間介電層248及接觸蝕刻停止層246,且源極/汲極接點270也可沉積於鰭間隙壁218之上。在此情況中,允許源極/汲極接點270以自對準形式形成的閘極介電蓋層264可被稱為自對準接觸(self-aligned contact,SAC)蓋。在第19圖顯示的實施例中,例如透過在鰭間隙壁218之上形成遮罩來保留在鰭間隙壁218之上的層間介電層248及接觸蝕刻停止層246,以保護此部分的層間介電層248及接觸蝕刻停止層246免於蝕刻製程。在一些實施例中,源極/汲極接點270包含毯覆式襯墊於接觸開口的側壁及底部上方及源極/汲極部件244上方的接觸層272以及沉積於接觸層272上方的金屬填充層274,如第19圖所示。接觸層272可包含鈦(Ti)、鋁(Al)、氮化鈦(TiN)、鎢(W)或其他合適的接觸金屬。金屬填充層274可由鎢(W)或鈷(Co)形成。
請參照第4和20圖,方法100包含方塊132,其中在源極/汲極接點270和閘極介電蓋層264上方形成中間接觸蝕刻停止層276(intermediate contact etch stop layer,MCESL)。中間接觸蝕刻停止層276可透過電漿輔助化學氣相沉積製程及/或其他合適的沉積製程形成。之後,另一個層間介電層278形成於中間接觸蝕刻停止層276上方。在一些實施例中,中間接觸蝕刻停止層276為氮化矽層及/或具有與後續形成的層間介電層278不同的蝕刻選擇性的其他合適材料。在一些實施例中,層間介電層278包括材料例如四乙氧基矽烷(TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽(例如硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜矽玻璃(BSG)等)及/或其他合適的介電材料。在一些實施例中,層間介電層278由氧化矽形成。層間介電層278可透過電漿輔助化學氣相沉積製程或其他合適的沉積技術來沉積。
請參照第4和21圖,方法100包含方塊134,其中透過第一蝕刻製程ET1將層間介電層278圖案化,以在位於鰭切割開口213(第6圖)中的末端溝槽中的取代閘極結構252上方形成接觸開口280。控制第一蝕刻製程ET1的蝕刻時間,以允許移除中間接觸蝕刻停止層276的一部分,但是不穿透中間接觸蝕刻停止層276。由於第一蝕刻製程ET1的緣故,接觸開口280延伸至中間接觸蝕刻停止層276中,但不通過中間接觸蝕刻停止層276的整個厚度。在一些實施例中,在第一蝕刻製程ET1之前,進行微影製程,以定義具有開口的圖案化遮罩282,開口在接觸開口280的位置。舉例來說,微影製程可包含:在層間介電層278上方旋塗光阻層;進行曝光後烘烤製程;以及將光阻層顯影,以形成圖案化遮罩282。在一些實施例中,可透過使用電子束(electron beam,e-beam)微影製程或極紫外光(Extreme Ultraviolet,EUV)微影製程來進行將光阻圖案,以形成圖案化遮罩282。在一些實施例中,第一蝕刻製程ET1為非等向性蝕刻製程,例如電漿蝕刻。
請參照第4和22圖,方法100包含方塊136,其中透過使用第二蝕刻製程ET2將接觸開口280向下延伸通過中間接觸蝕刻停止層276和閘極介電蓋層264。控制第二蝕刻製程ET2的時間,以穿透(或被稱為擊穿)中間接觸蝕刻停止層276和閘極介電蓋層264,向下加深或延伸接觸開口280,以到達源極/汲極接點270和閘極金屬蓋層262。由於第二蝕刻製程ET2的緣故,源極/汲極接點270和閘極金屬蓋層262的頂表面暴露於加深的接觸開口280的底部。在一些實施例中,第二蝕刻製程ET2為非等向性蝕刻製程,例如使用不同於第一蝕刻製程ET1的蝕刻劑及/或蝕刻條件的電漿蝕刻(例如感應耦合電漿(inductively coupled plasma,ICP)、電容耦合電漿(capacitively coupled plasma,CCP)等)。閘極金屬蓋層262可用作可檢測蝕刻終點,這防止了過蝕刻,因此進而防止了閘極金屬蓋層262的擊穿或穿透。在一些實施例中,由於接觸層272和閘極間隙壁234(第一間隔層232和第二間隔層233)的材料具有比中間接觸蝕刻停止層276和閘極介電蓋層264更小的蝕刻選擇性,因此輕微凹陷但是大致保留接觸層272和閘極間隙壁234。在一些實施例中,由於非等向性蝕刻的緣故,通過層間介電層278和中間接觸蝕刻停止層276的接觸開口280具有漸縮的側壁輪廓。然而,在一些其他實施例中,可微調蝕刻條件,以允許接觸開口280具有通過層間介電層278和中間接觸蝕刻停止層276的垂直側壁輪廓,如第22圖所示。暴露於接觸開口280的閘極介電蓋層264的剩下部分(在閘極金屬蓋層262之上)可具有漸縮的側壁輪廓。
如果在第二蝕刻製程ET2之後在接觸開口280中形成對接接點,對接接點依賴於其與源極/汲極接點270和閘極金屬蓋層262的頂表面的相接用於電性接觸。隨著裝置尺寸縮小,可用的頂表面變得有限,反而增加了接觸電阻。再者,重疊誤差(overlay inaccuracy)可導致接觸開口280不對準源極/汲極接點270或閘極金屬蓋層262,導致切斷線路。
請參照第4和23圖,方法100包含方塊138,其中透過使用第三蝕刻製程ET3將接觸開口280向下延伸至閘極間隙壁234中。控制第三蝕刻製程ET3的時間,以部分移除閘極間隙壁234,進一步向下加深或延伸接觸開口280。在一些實施例中,第三蝕刻製程ET3為非等向性蝕刻製程,例如使用不同於第一蝕刻製程ET1和第二蝕刻製程ET2的蝕刻劑及/或蝕刻條件的電漿蝕刻(例如感應耦合電漿(ICP)、電容耦合電漿(CCP)等)。由於第三蝕刻製程ET3的緣故,在將閘極間隙壁234凹陷之後,源極/汲極接點270的側壁及取代閘極結構252的側壁暴露於加深的接觸開口280的底部。由於閘極介電蓋層264的材料不同於閘極間隙壁234(第一間隔層232和第二間隔層233)的緣故,閘極介電蓋層264在第三蝕刻製程ET3中大致保持不變。再者,為了清楚起見,如放大的區域260所示,透過第三蝕刻製程ET3也部分移除閘極介電層256,以暴露閘極電極層258(至少一功函數層及/或金屬填充層)。閘極間隙壁234的底部可保留在加深的接觸開口280的底部。在第23圖顯示的實施例中,將閘極間隙壁234凹陷,以暴露源極/汲極部件244的尖端,因此第一間隔層232與第二間隔層233斷開。在一些實施例中,可完全移除第二間隔層233,暴露接觸層272的整個側壁。然而,保留第一間隔層232的至少一部分,以覆蓋最頂部的通道層208免於暴露。閘極電極層258的最頂部到加深的接觸開口280的底表面的距離H可在約2nm至約6nm的範圍中。如果距離H小於約2nm,則沒有足夠暴露源極/汲極接點270的側壁及取代閘極結構252的側壁,使得用於將形成的對接接點的接觸電阻仍然很大。如果距離H大於約6nm,則接觸開口280過深,冒著暴露最頂部通道層208並導致電性短路的風險。閘極電極層258可用作可檢測蝕刻終點,這防止了接觸開口280過深。暴露於接觸開口280中的源極/汲極接點270的接觸層272的側壁可具有漸縮的側壁輪廓。
請參照第4和24-25圖,方法100包含方塊140,其中接著在接觸開口280中形成對接接點284,以形成與取代閘極結構252及源極/汲極接點270的物理及電性連接。舉例來說但不限於此,對接接點284透過使用以下方法形成:沉積過填充接觸開口280的一個或多個金屬材料,之後透過化學機械研磨製程來移除在接觸開口280之外的多餘金屬材料(包含層間介電層278)。在化學機械研磨製程之後,對接接點284具有與中間接觸蝕刻停止層276大致共平面的頂表面,如第25圖所示。對接接點284可包含金屬材料,例如銅、鋁、鎢或前述之組合或類似物,且對接接點284可透過物理氣相沉積、化學氣相沉積、原子層沉積或類似方法形成。在一些實施例中,對接接點284也可包含一個或多個阻障層/黏著層(未顯示),以保護中間接觸蝕刻停止層276和閘極介電蓋層264免於金屬擴散(例如銅擴散)。一個或多個阻障層/黏著層可包括鈦、氮化鈦、氮化鉭或類似物,且一個或多個阻障層/黏著層可透過使用物理氣相沉積、化學氣相沉積、原子層沉積或類似方法形成。
對接接點284承襲了接觸開口 280 的幾何形狀。在一些實施例中,對接接點284延伸通過具有大致垂直側壁的中間接觸蝕刻停止層276的整個厚度,並以漸縮側壁與閘極介電蓋層264和源極/汲極接點270相接。對接接點284與源極/汲極接點270和取代閘極結構252的頂表面相接。對接接點284也具有向下延伸至恆向位於源極/汲極接點270與取代閘極結構252之間的位置的延伸部分。對接接點284的延伸部分與源極/汲極接點270和取代閘極結構252的側壁相接,提供額外的接觸面積,在一些範例中,例如約20%至約40%的額外接觸面積。額外接觸面積減少了源極/汲極接點270與取代閘極結構252之間的接觸電阻。再者,閘極間隙壁234的蝕刻具有自對準方式,使得即使發生重疊誤差使得對接接點284不對準源極/汲極接點270(或取代閘極結構252)的頂表面,對接接點284的延伸部分仍與源極/汲極接點270(或取代閘極結構252)的側壁相接,確保一些接觸。
在第25圖顯示的實施例中,對接接點284的延伸部分也與源極/汲極部件244的尖端及第一間隔層232和第二間隔層233的殘留物相接。由於取代閘極結構252的不平坦頂表面的緣故,對接接點284可具有在取代閘極結構252的頂表面的尖端之上的第二延伸部分。換句話說,對接接點284的底表面橫跨取代閘極結構252的最頂部。第一間隔層232的殘留物將最頂部通道層208與對接接點284隔開避免接觸。
請參照第4和26圖,方法100包含方塊142,其中可對工件200進行進一步加工,以形成本領域已知的各種部件及區域。舉例來說,後續加工可在中間接觸蝕刻停止層276上方形成金屬間介電(inter-metal dielectric,IMD)層286,並形成被配置以連接各種部件的通孔開口、導通孔、各種接點/導通孔/導線及多層互連部件(例如金屬層及層間介電質),以形成可包含一個或多個多閘極裝置的功能性電路。在一範例中,多層互連結構可包含垂直互連結構(例如導通孔或接點)及水平互連結構(例如金屬線)。如第26圖所示,形成閘極導通孔288及源極/汲極接觸導通孔290,以將閘極結構及源極/汲極部件連接至上方的金屬線(例如在本文靜態隨機存取記憶體單元中的位元線及字元線)。各種互連部件可應用各種導電材料,導電材料包含銅、鎢及/或矽化物。在一範例中,使用鑲嵌及/或雙鑲嵌製程,以形成銅相關的多層互連結構。再者,可在方法100之前、期間及之後進行額外的製程步驟,且依據方法100的各種實施例,可取代或消除上述的一些製程步驟。
請參照第27圖,在一替代實施例中,方法100在方塊130可形成被層間介電層248及接觸蝕刻停止層246圍繞的源極/汲極接點270,例如透過微影製程,以在層間介電層248中並通過接觸蝕刻停止層246形成接觸開口。在方塊138的第三蝕刻製程ET3之後,層間介電層248及接觸蝕刻停止層246的殘留部分可保留在對接接點284下方,並橫向位於接觸層272與第二間隔層233之間。
請參照第28圖,本發明所屬技術領域中具通常知識者可理解雖然第5-27圖顯示全繞式閘極裝置作為實施例,但是本發明實施例各方面可受益於半導體裝置的其他範例,例如鰭式場效電晶體裝置。如第28圖所示,在鰭式場效電晶體裝置中,鰭狀結構212(或半導體鰭)提供用於電晶體的通道區,而非如在多橋接通道裝置中垂直堆疊的通道層208。為了簡潔及一致性起見,第28圖出現的相似元件以相同於第5-27圖的符號標註,且這些元件的細節不需要贅述於此。
雖然不意圖限制,但是本發明一個或多個實施例提供半導體裝置及其形成方法的許多優點。舉例來說,本發明實施例提供對接接點,以將源極/汲極接點連接至閘極結構。對接接點包含延伸部分,延伸部分向下延伸橫向位於閘極結構與源極/汲極接點之間。除了閘極結構和源極/汲極接點的頂表面之外,延伸部分在閘極結構和源極/汲極接點的側壁上提供額外的接觸面積。額外的接觸面積有效地減少了接觸電阻,並提供閘極結構與源極/汲極接點之間的低阻抗路徑。再者,對接接點的形成可輕易地整合至現有的半導體製造過程中。
在一例示性方面中,本發明實施例有關於方法,此方法包含形成從基底突出的鰭狀結構;形成橫跨鰭狀結構的閘極結構;在閘極結構的側壁上形成閘極間隙壁;在鰭狀結構之上形成導電部件,閘極間隙壁橫向位於閘極結構與導電部件之間;在閘極結構和導電部件上方沉積介電層;進行蝕刻製程,進而形成通過介電層的開口,導電部件和閘極結構的頂表面暴露於開口中;將閘極間隙壁凹陷通過開口,進而暴露閘極結構的側壁;以及在開口中形成接觸部件,其中接觸部件接觸導電部件,並具有底部向下延伸接觸閘極結構的側壁。在一些實施例中,接觸部件具有橫向位於導電部件與閘極結構之間的延伸部分。在一些實施例中,此方法更包含在鰭狀結構上且相鄰於閘極結構成長磊晶部件,導電部件位於磊晶部件上。在一些實施例中,在將閘極間隙壁凹陷之後,磊晶部件的一部分暴露於開口中。在一些實施例中,在將閘極間隙壁凹陷之後,導電部件的側壁暴露於開口中。在一些實施例中,接觸部件接觸導電部件的側壁。在一些實施例中,此方法更包含將閘極結構凹陷;以及在凹陷的閘極結構上沉積介電蓋層,其中進行蝕刻製程移除介電蓋層的一部分。在一些實施例中,鰭狀結構縱向終止於末端表面,且其中閘極結構與鰭狀結構的末端表面相接。在一些實施例中,鰭狀結構包含交錯的複數個通道層及複數個犧牲層,且此方法更包含選擇性移除犧牲層,進而釋放通道層,閘極結構環繞通道層的每一者。在一些實施例中,接觸部件的頂表面與介電層的頂表面共平面。
在另一例示性方面中,本發明實施例有關於方法,此方法包含形成沿第一方向縱向延伸的鰭狀結構,其中鰭狀結構縱向終止於末端表面;沉積包覆層與末端表面相接;在包覆層的側壁上沉積鰭間隙壁;在鰭狀結構上形成介電層;將鰭狀結構的源極/汲極區凹陷,以形成源極/汲極凹口;在源極/汲極凹口中沉積源極/汲極部件;在源極/汲極部件上形成源極/汲極接點;以閘極結構取代包覆層,其中閘極結構沿垂直於第一方向的第二方向縱向延伸,並與末端表面相接,且其中介電層位於源極/汲極接點與閘極結構之間;將介電層凹陷,進而形成開口;以及在開口中沉積接觸部件,其中接觸部件接觸源極/汲極接點和閘極結構。在一些實施例中,介電層沉積於閘極結構的側壁上。在一些實施例中,開口暴露源極/汲極接點和閘極結構的側壁,且接觸部件接觸源極/汲極接點和閘極結構的側壁。在一些實施例中,閘極結構包含閘極介電層及閘極電極層,且將介電層凹陷的步驟也部分移除閘極介電層,並在開口中暴露閘極電極層。在一些實施例中,在將介電層凹陷之後,介電層的一部分保留在開口中,並覆蓋鰭狀結構免於暴露於開口中。在一些實施例中,接觸部件包含第一部分及第二部分,閘極結構的頂部位於第一部分與第二部分之間。
在另一例示性方面中,本發明實施例有關於半導體裝置,半導體裝置包含第一鰭和第二鰭,沿第一方向縱向延伸;第一閘極結構和第二閘極結構,沿垂直於第一方向的第二方向縱向延伸,其中第一閘極結構與第一鰭接合形成第一電晶體,第二閘極結構與第二鰭接合形成第二電晶體,且第二閘極結構接觸第一鰭的末端;第一電晶體的源極/汲極部件;源極/汲極接點,接觸源極/汲極部件;以及接觸結構,接觸源極/汲極接點和第二閘極結構的頂表面,接觸結構包含橫向位於源極/汲極接點與第二閘極結構的側壁之間的延伸部分。在一些實施例中,接觸結構接觸源極/汲極接點和第二閘極結構的側壁。在一些實施例中,接觸結構接觸源極/汲極部件。在一些實施例中,半導體裝置更包含閘極間隙壁,接觸第二閘極結構及接觸結構的延伸部分。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
11:第一記憶體單元 13:第二記憶體單元 12:第一位元線 14:第二位元線 16:另一位元線 18:第一字元線 19:第二字元線 21,23:靜態隨機存取記憶體單元 22,24:導通孔連接 25:主動區 27:閘極結構 28,284,Butted Contact:對接接點 100:方法 102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132,134,136, 138,140,142:方塊 200:工件 202:基底 204:堆疊物 206:犧牲層 208:通道層 210:硬遮罩層 209:第一層 211:第二層 212:鰭狀結構 212B:基部 212S:堆疊物部 213:鰭切割開口 214:隔離部件 215:末端表面 216:包覆層 218:鰭間隙壁 220,221:介電層 222:高介電常數介電層 230:虛設閘極堆疊物 232:第一間隔層 233:第二間隔層 234:閘極間隙壁 236:源極/汲極溝槽 242:內部間隙壁 244:源極/汲極部件 246:接觸蝕刻停止層 248,278:層間介電層 250:閘極溝槽 252:取代閘極結構 254:界面層 256:閘極介電層 258:閘極電極層 260:區域 262:閘極金屬蓋層 264:閘極介電蓋層 270:源極/汲極接點 272:接觸層 274:金屬填充層 276:中間接觸蝕刻停止層 280:接觸開口 282:圖案化遮罩 286:金屬間介電層 288:閘極導通孔 290:源極/汲極接觸導通孔 H:距離 ET1:第一蝕刻製程 ET2:第二蝕刻製程 ET3:第三蝕刻製程 STI:淺溝槽隔離區 Contact level:接點層 Contact:源極/汲極接點 Gate_CO:閘極接點 gate:閘極電極 OD level:主動區層 Via_0 level,Via_1 level,Via_2 level,Via_3 level:導通孔層 Via_0,Via_1,Via_2,Via_3:導通孔 M1 level,M2 level,M3 level,M4 level:金屬層 M1,M2,M3,M4:金屬 BL,BLB:位元線 PU1:第一上拉電晶體 PU2:第二上拉電晶體 PD1:第一下拉電晶體 PD2:第二下拉電晶體 PG1:第一通道閘極電晶體 PG2:第二通道閘極電晶體 Vdd:汲極電源電壓 Vss:源極電源電壓
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖顯示依據本發明實施例一個或多個方面,一組記憶體單元的電路圖。 第2圖顯示依據本發明實施例一個或多個方面,第1圖的一組記憶體單元的例示性布局。 第3圖顯示依據本發明實施例一個或多個方面,一組記憶體單元中涉及的層的剖面示意圖。 第4圖顯示依據本發明實施例一個或多個方面,半導體裝置的形成方法的流程圖。 第5圖和第6圖顯示依據本發明實施例一個或多個方面,在第4圖的方法的各製造階段期間,工件的局部透視圖。 第7-28圖顯示依據本發明實施例一個或多個方面,在第4圖的方法的各製造階段期間,工件的局部剖面示意圖。
284:對接接點
202:基底
208:通道層
212B:基部
214:隔離部件
218:鰭間隙壁
221:介電層
222:高介電常數介電層
232:第一間隔層
233:第二間隔層
234:閘極間隙壁
242:內部間隙壁
244:源極/汲極部件
246:接觸蝕刻停止層
248:層間介電層
252:取代閘極結構
262:閘極金屬蓋層
264:閘極介電蓋層
270:源極/汲極接點
272:接觸層
274:金屬填充層
276:中間接觸蝕刻停止層
286:金屬間介電層
288:閘極導通孔
290:源極/汲極接觸導通孔
H:距離

Claims (15)

  1. 一種半導體裝置的形成方法,包括:形成從一基底突出的一鰭狀結構;形成橫跨該鰭狀結構的一閘極結構;在該閘極結構的側壁上形成一閘極間隙壁;在該鰭狀結構之上形成一導電部件,其中該閘極間隙壁橫向位於該閘極結構與該導電部件之間;在該閘極結構和該導電部件上方沉積一介電層;進行一蝕刻製程,進而形成通過該介電層的一開口,其中該導電部件和該閘極結構的頂表面暴露於該開口中;將該閘極間隙壁凹陷通過該開口,進而暴露該閘極結構的側壁;以及在該開口中形成一接觸部件,其中該接觸部件接觸該導電部件,並具有一底部向下延伸接觸該閘極結構的側壁。
  2. 如請求項1之半導體裝置的形成方法,其中該接觸部件具有橫向位於該導電部件與該閘極結構之間的一延伸部分。
  3. 如請求項1或2之半導體裝置的形成方法,更包括:在該鰭狀結構上且相鄰於該閘極結構成長一磊晶部件,其中該導電部件位於該磊晶部件上。
  4. 如請求項3之半導體裝置的形成方法,其中在將該閘極間隙壁凹陷之後,該磊晶部件的一部分暴露於該開口中。
  5. 如請求項1或2之半導體裝置的形成方法,其中在將該閘極間隙壁凹陷之後,該導電部件的側壁暴露於該開口中。
  6. 如請求項5之半導體裝置的形成方法,其中該接觸部件接觸該導電部件的側壁。
  7. 如請求項1或2之半導體裝置的形成方法,更包括:將該閘極結構凹陷;以及在凹陷的該閘極結構上沉積一介電蓋層,其中進行該蝕刻製程移除該介電蓋層的一部分。
  8. 一種半導體裝置的形成方法,包括:形成沿一第一方向縱向延伸的一鰭狀結構,其中該鰭狀結構縱向終止於一末端表面;沉積一包覆層與該末端表面相接;在該包覆層的側壁上沉積一鰭間隙壁;在該鰭狀結構上形成一介電層;將該鰭狀結構的一源極/汲極區凹陷,以形成一源極/汲極凹口;在該源極/汲極凹口中沉積一源極/汲極部件;在該源極/汲極部件上形成一源極/汲極接點;以一閘極結構取代該包覆層,其中該閘極結構沿垂直於該第一方向的一第二方向縱向延伸,並與該末端表面相接,且其中該介電層位於該源極/汲極接點與該閘極結構之間;將該介電層凹陷,進而形成一開口;以及在該開口中沉積一接觸部件,其中該接觸部件物理接觸該源極/汲極接點和該閘極結構。
  9. 如請求項8之半導體裝置的形成方法,其中該閘極結構包含一閘 極介電層及一閘極電極層,且其中將該介電層凹陷的步驟也部分移除該閘極介電層,並在該開口中暴露該閘極電極層。
  10. 如請求項8或9之半導體裝置的形成方法,其中在將該介電層凹陷之後,該介電層的一部分保留在該開口中,並覆蓋該鰭狀結構免於暴露於該開口中。
  11. 如請求項8或9之半導體裝置的形成方法,其中該接觸部件包含一第一部分及一第二部分,該閘極結構的一頂部位於該第一部分與該第二部分之間。
  12. 一種半導體裝置,包括:一第一鰭和一第二鰭,沿一第一方向縱向延伸;一第一閘極結構和一第二閘極結構,沿垂直於該第一方向的一第二方向縱向延伸,其中該第一閘極結構與該第一鰭接合形成一第一電晶體,該第二閘極結構與該第二鰭接合形成一第二電晶體,且該第二閘極結構接觸該第一鰭的一末端;該第一電晶體的一源極/汲極部件;一源極/汲極接點,接觸該源極/汲極部件;以及一接觸結構,接觸該源極/汲極接點和該第二閘極結構的頂表面,其中該接觸結構包含橫向位於該源極/汲極接點與該第二閘極結構的側壁之間的一延伸部分。
  13. 如請求項12之半導體裝置,其中該接觸結構接觸該源極/汲極接點和該第二閘極結構的側壁。
  14. 如請求項12之半導體裝置,其中該接觸結構接觸該源極/汲極 部件。
  15. 如請求項12至14中任一項之半導體裝置,更包括:一閘極間隙壁,接觸該第二閘極結構及該接觸結構的該延伸部分。
TW111136938A 2021-12-16 2022-09-29 半導體裝置及其形成方法 TWI832452B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163290391P 2021-12-16 2021-12-16
US63/290,391 2021-12-16
US17/832,597 2022-06-04
US17/832,597 US20230197802A1 (en) 2021-12-16 2022-06-04 Connection between gate and source/drain feature

Publications (2)

Publication Number Publication Date
TW202327046A TW202327046A (zh) 2023-07-01
TWI832452B true TWI832452B (zh) 2024-02-11

Family

ID=86305099

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111136938A TWI832452B (zh) 2021-12-16 2022-09-29 半導體裝置及其形成方法

Country Status (3)

Country Link
US (1) US20230197802A1 (zh)
CN (1) CN116133360A (zh)
TW (1) TWI832452B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113540081A (zh) * 2020-06-15 2021-10-22 台湾积体电路制造股份有限公司 半导体结构
US20210376093A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Backside Source Contact Structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210376093A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Backside Source Contact Structure
CN113540081A (zh) * 2020-06-15 2021-10-22 台湾积体电路制造股份有限公司 半导体结构

Also Published As

Publication number Publication date
CN116133360A (zh) 2023-05-16
TW202327046A (zh) 2023-07-01
US20230197802A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
US11239072B2 (en) Cut metal gate process for reducing transistor spacing
TWI808130B (zh) 半導體裝置及其製造方法
US11476159B2 (en) Shared contact structure and methods for forming the same
TWI792456B (zh) 半導體裝置及其形成方法
TW202205449A (zh) 半導體裝置及其形成方法
KR102495805B1 (ko) 후면 콘택
TWI793675B (zh) 半導體裝置及其形成方法
US20220367482A1 (en) Source/Drain Feature Separation Structure
US20220130971A1 (en) Semiconductor device having embedded conductive line and method of fabricating thereof
US11980016B2 (en) Connection between source/drain and gate
TW202029340A (zh) 半導體裝置及其形成方法
TWI801864B (zh) 半導體裝置及其形成方法
KR102593872B1 (ko) 콘택 구조물을 형성하는 방법
TWI832452B (zh) 半導體裝置及其形成方法
TWI792267B (zh) 半導體裝置及其製造方法
TWI776442B (zh) 半導體裝置及半導體結構
US20230387127A1 (en) Semiconductor structure with self-aligned backside power rail
TW202243260A (zh) 半導體結構
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
US20230137766A1 (en) Semiconductor Structures Having A Continuous Active Region
US11942479B2 (en) Semiconductor device and manufacturing method thereof
US20240178302A1 (en) Semiconductor device with protective gate structure and methods of fabrication thereof
TW202418405A (zh) 半導體結構及其形成方法
TW202343842A (zh) 半導體結構及其形成方法
CN115832049A (zh) 半导体器件及其制造方法