TWI832343B - 積體晶片結構及形成積體晶片結構之方法 - Google Patents

積體晶片結構及形成積體晶片結構之方法 Download PDF

Info

Publication number
TWI832343B
TWI832343B TW111127147A TW111127147A TWI832343B TW I832343 B TWI832343 B TW I832343B TW 111127147 A TW111127147 A TW 111127147A TW 111127147 A TW111127147 A TW 111127147A TW I832343 B TWI832343 B TW I832343B
Authority
TW
Taiwan
Prior art keywords
dielectric structure
additional
bonding pad
bond pad
top surface
Prior art date
Application number
TW111127147A
Other languages
English (en)
Other versions
TW202331975A (zh
Inventor
學理 莊
鄧立峯
吳偉成
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202331975A publication Critical patent/TW202331975A/zh
Application granted granted Critical
Publication of TWI832343B publication Critical patent/TWI832343B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4824Pads with extended contours, e.g. grid structure, branch structure, finger structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02333Structure of the redistribution layers being a bump
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/035Manufacturing methods by chemical or physical modification of a pre-existing or pre-deposited material
    • H01L2224/03502Pre-existing or pre-deposited material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03614Physical or chemical etching by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03622Manufacturing methods by patterning a pre-deposited material using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/03845Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05007Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05012Shape in top view
    • H01L2224/05014Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05016Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05547Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05551Shape comprising apertures or cavities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05554Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05557Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0801Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08123Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting directly to at least two bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)

Abstract

本揭露關於積體晶片結構。積體晶片結構包括一個或多個內連線,該一個或多個內連線係設置在一基材上方的一介電結構內。一接合墊具有沿該介電結構之一頂表面佈置的一頂表面,該接合墊的頂表面包含複數個離散的頂表面區段,該複數個頂表面區段係橫向地以一個或多個非零距離彼此隔開,在一剖面視圖中所見,該非零距離在該接合墊的複數個內側壁之間延伸。該介電結構係直接設置在該接合墊的複數個內側壁之間。

Description

積體晶片結構及形成積體晶片結構之方法
本發明係涉及一種積體晶片,尤其是一種積體晶片結構及形成一積體晶片結構之方法。
多維度積體晶片是具有多個基材和/或晶粒的積體電路,該等基材和/或晶粒垂直地堆疊在彼此上及彼此電性地內連接。通過電性地內連接堆疊的基材和/或晶粒,多維度積體晶片做為一單個元件,較傳統積體電路相比,其提供了改進的性能、降低的功耗和減少所佔用空間。因此,多維度積體晶片為繼續滿足下一代積體電路的性能/成本需求提供了途徑。
在一個實施例中,本申請案提供一種積體晶片結構,包含:一個或多個內連線,係設置在一基材上方的一介電結構內;一接合墊,具有沿該介電結構之一頂表面佈置的一頂表面,其中該接合墊的頂表面包含複數個離散的頂表面區段,該複數個頂表面區段係橫向地以一個或多個非零距離彼此隔開,在一剖面視圖中所見,該非零距離在該接合墊的複數個內側壁之間延伸;以及其中該介電結構係直接設置在該接合墊的複數個內側壁之間。
在另一個實施例中,本申請案提供一種積體晶片結構,包含:一個或多個內連線,係被設置在一基材上一介電結構圍繞;一接合墊,係被該介電結構圍繞,及包含一下區段及一上區段,該下區段在沿該接合墊的一底部佈置的下側壁的外邊緣之間延伸,及該上區段在沿該接合墊的一頂部佈置的上側壁的外邊緣之間延伸;以及其中該接合墊的上區段包含於該接合墊之一頂表面 之邊緣之間以非零距離彼此隔開之一個或多個內側壁,該介電結構係在該接合墊之該頂表面之該邊緣之間。
在另一個實施例中,本申請案提供一種形成一積體晶片結構之方法,包含:於一第一上介電結構中形成一第一接合墊開口,該第一上介電結構被形成在一下介電結構上方,該下介電結構圍繞一基材上方一個或多個內連線;於該第一接合墊開口中形成一第一阻擋層及一第一導電芯;在該第一上介電結構之上方形成一第二上介電結構;於該第二上介電結構中形成一第二接合墊開口,以暴露該第一導電芯及圍繞該第二上介電結構之一個或多個內側壁;於該第二接合墊開口中形成一阻擋材料及一導電材料;以及移除該阻擋材料及該導電材料之部分,其中移除該阻擋材料及該導電材料之部分形成一具有內側壁之接合墊,該內側壁形成一個或多個延伸入該接合墊內之空腔。
100:積體晶片結構
102:基材
104:介電結構
104a-104e:ILD層
104L:下介電結構
105a-105c:蝕刻停止層
105t:頂部介電層
106:內連線
108:最頂部內連線
108b:阻擋層
108c:導電芯
108u:上表面
112:接合墊
112h:水平延伸表面
112s:內側壁
112u:頂表面區段
113:空腔
114:下區段
114b:第一阻擋層
114c:第一導電芯
114d:離散的下區段
116:上區段
116b:第二阻擋層
116c:第二導電芯
117:底表面
118:上視圖
120:第一方向
122:第二方向
200:多維度積體晶片結構
202:第一IC晶粒
204:半導體元件
206:虛設接合墊
208:第二IC晶粒
210:附加介電結構
212:附加基材
214:附加內連線
216:最頂部內連線
218:附加接合墊
220:附加空腔
222:附加半導體元件
224:附加虛設接合墊
300:積體晶片結構
302:第一寬度
304:第二寬度
306:第三寬度
308:上視圖
400:積體晶片結構
402:上視圖
500:多維度積體晶片結構
502:小空隙
600:積體晶片結構
602:上視圖
700:上視圖
702:第一距離
704:第二距離
706:上視圖
708:上視圖
800:積體晶片結構
806:剖面視圖
808:剖面視圖
900:上視圖
902:第一距離
904:第二距離
906:上視圖
908:上視圖
1000:積體晶片結構
1002:剖面視圖
1004:剖面視圖
1100:剖面視圖
1200:剖面視圖
1201:第一上介電結構
1202:第一接合墊開口
1204:第一蝕刻劑
1206:第一遮罩
1300:剖面視圖
1400:剖面視圖
1401:第二上介電結構
1500:剖面視圖
1502:第二接合墊開口
1504:第二蝕刻劑
1506:第二光罩
1600:剖面視圖
1602:阻擋材料
1604:第二導電材料
1700:剖面視圖
1704:拋光墊
1706:上視圖
1702:線
1800:剖面視圖
1900:剖面視圖
2000:剖面視圖
2001:第一上介電結構
2002:中間第一接合墊開口
2004:第一蝕刻劑
2006:第一遮罩
2100:剖面視圖
2102:犧牲遮罩
2200:剖面視圖
2202:第一接合墊開口
2204:第二蝕刻劑2
2206:第二遮罩
2300:剖面視圖
2400:剖面視圖
2500:剖面視圖
2501:第二上介電結構
2502:第二接合墊開口
2504:第三蝕刻劑
2506:第三遮罩
2600:剖面視圖
2602:阻擋材料
2604:導電材料
2700:剖面視圖
2702:線
2706:上視圖
2800:剖面視圖
2900:剖面視圖
3000:剖面視圖
3001:第一上介電結構
3002:第一接合墊開口
3004:第一蝕刻劑
3006:第一遮罩
3100:剖面視圖
3102:上視圖
3104:上視圖
3200:剖面視圖
3300:剖面視圖
3302:第二接合墊開口
3304:第三蝕刻劑
3306:第三遮罩
3400:剖面視圖
3402:上視圖
3404:上視圖
3406:上視圖
3500:剖面視圖
3600:方法
3602:操作
3604:操作
3606:操作
3608:操作
3610:操作
3612:操作
3614:操作
3616:操作
3618:操作
藉由以下詳細說明並配合圖式閱讀,可更容易理解本揭露。在此強調的是,按照產業界的標準做法,各種特徵並未按比例繪製,僅為說明之用。事實上,為了清楚的討論,各種特徵的尺寸可任意放大或縮小。
圖1A至1B係顯示包含接合墊之積體晶片結構之一些實施例,接合墊具有被配置為減少接合墊塌陷之一個或多個空腔。
圖2係顯示包含接合墊之多維度積體晶片結構的一些實施例之剖面視圖,該接合墊分別具有一個或多個空腔。
圖3A至3B係顯示包含接合墊之積體晶片結構的一些附加實施例,該接合墊具有一個或多個空腔。
圖4A至4B係顯示包含接合墊之積體晶片結構的一些附加實施例,該接合墊具有一個或多個空腔。
圖5係顯示包含接合墊之多維度積體晶片結構的一些實施例之剖面視圖,該接合墊分別具有一個或多個空腔。
圖6A至6B係顯示包含接合墊之積體晶片結構的一些附加實施例,該接合墊具有一個或多個空腔。
圖7A至7C係顯示包含所揭示的接合墊之積體晶片結構的一些附加實施例之上視圖,該所揭示的接合墊具有以不同形狀及/或空間配置之一個或多個空腔。
圖8A至8C係顯示包含接合墊之積體晶片結構的一些附加實施例,該接合墊具有一個或多個空腔。
圖9A至9C係顯示包含所揭示的接合墊之積體晶片結構的一些附加實施例之上視圖,該所揭示的接合墊具有以不同形狀及/或空間配置之一個或多個空腔。
圖10A至10C係顯示包含所揭示的接合墊之積體晶片結構的一些附加實施例,該所揭示的接合墊具有一個或多個空腔。
圖11至18係顯示形成包含所揭示的接合墊之積體晶片結構之方法的一些實施例,接合墊具有被配置為減少接合墊塌陷之一個或多個空腔。
圖19至28係顯示形成包含所揭示的接合墊之積體晶片結構之方法的一些附加實施例,接合墊具有被配置為減少接合墊塌陷之一個或多個空腔。
圖29至35係顯示形成包含所揭示的接合墊之積體晶片結構之方法的一些附加實施例,接合墊具有被配置為減少接合墊塌陷之一個或多個空腔。
圖36係顯示形成包含所揭示的接合墊之積體晶片結構之方法的一些附加實施例之流程圖,接合墊具有被配置為減少接合墊塌陷之一個或多個空腔。
以下公開提供用於實施所提供主題的不同特徵的許多不同實施例或實例。下文描述元件和佈置的具體實例以簡化本發明。當然,這些只是實例且並不意欲為限制性的。舉例來說,在以下描述中,第一構件形成在第二構 件上方可包括第一構件與第二構件直接接觸地形成的實施例,且還可包括附加構件可形成在第一構件與第二構件之間和/或設置在第一構件與第二構件之間從而使得第一構件與第二構件可以不直接接觸的實施例。另外,本發明可能在各個實例中重複附圖標號和/或字母。這種重複本身並不指示所論述的各種實施例和/或配置之間的關係。
另外,為易於描述,本文中可使用空間相對術語,例如“在...下方”、“下方”、“下部”、“上方”、“上部”等等來描述如圖式中所示出的一個元件或構件與另一元件或構件的關係。除圖式中所描繪的定向以外,空間相對術語意欲涵蓋裝置在使用或操作中的不同定向。設備可以其它方式定向(例如旋轉90度或處在其它定向),且本文中所使用的空間相對描述詞同樣可相應地進行解釋。
藉由彼此堆疊複數個積體晶片晶粒形成多維度積體晶片結構(例如,晶圓上晶片(chip-on-wafer;CoW)結構、晶圓上晶圓(wafer-on-wafer;WoW)結構、三維度積體晶片(three-dimensional integrated chip;3DIC)結構或類似者)。藉由在覆蓋一個或多個半導體基材的ILD層之內形成內連線來單獨地產生複數個積體晶片晶粒。一個或多個接合墊然後在內連線的頂部上形成。一個或多個接合墊可以藉由在接合墊開口內沉積導電材料(例如,金屬,諸如銅)被形成,該接合墊開口延伸通過在ILD層上方的介電材料,隨後是平坦化製程(例如,化學機械平坦化製程)。然後將積體晶片晶粒的接合墊被放置在一起以電性地耦接積體晶片晶粒。
當對一個或多個接合墊的導電材料(例如,銅)執行平坦化製程時,使拋光墊與導電材料和周圍的介電材料接觸。因為導電材料比周圍的介電材料更軟,拋光墊會以比周圍的介電更快的速度去除導電材料,從而導致導電材料凹陷或塌陷。這種塌陷導致一個或多個接合墊具有下凹的上表面,該上表面下降低於周圍的介電材料的頂部。當隨後將兩個積體晶片晶粒的接合墊被放置在一起時,可能在接合墊的凹形上表面之間形成空隙(例如,空間)。空隙會導 致積體晶片晶粒之間的不良電性連接,這會降低多維度積體晶片結構的性能和/或最終導致多維度積體晶片結構的故障。
本揭露相關於具有接合墊的積體晶片結構,該接合墊被配置為減輕沿接合墊之頂部的塌陷。露出的積體晶片結構包含設置在基材上方的介電結構內的接合墊內。接合墊包括界定被接合墊所圍繞的一個或多個空腔的內側壁。一個或多個空腔填充有介電結構的介電材料,使得接合墊的頂部包含複數個離散的頂表面區段,如在剖面視圖中所見,複數個離散的頂表面區段被介電結構彼此橫向地隔開。因為介電材料係直接設置在複數個離散的頂表面區段之間,所以用於形成接合墊的拋光墊與頂表面區段中的各個部分的重疊相對較小。相對較小的重疊減少了個別頂表面區段的塌陷。當將分別的積體晶片晶粒的接合墊放置在一起時,減少的塌陷降低了空隙的形成,從而提高了多維度積體晶片結構的電性性能和/或可靠性。
圖1A係顯示包含接合墊之積體晶片結構100之一些實施例的剖面視圖,接合墊具有被配置為減少接合墊塌陷之一個或多個空腔。
積體晶片結構100包含一個或多個內連線106,其係設置在基材102上方的介電結構104內。接合墊112係被設置於一個或多個內連線106上方之介電結構104內,並包含沿介電結構104之頂表面設置的一頂表面。在一些實施例中,接合墊112的頂表面係和介電結構104之頂表面實質上共平面。複數個內連線106包含設置在介電結構104內一最頂部內連線108。最頂部內連線108包含上表面108u,上表面108u直接接觸接合墊112的一最底表面。在一些實施例中,上表面108u可連續延伸經過接合墊112的底表面的最外側壁。
在一些實施例中,接合墊112包含下區段114及於下區段114之上方的上區段116。下區段114在接合墊112之下側壁的相對最外邊緣之間橫向地延伸。上區段116在接合墊112之上側壁的相對最外邊緣之間橫向地延伸,及係沿 著接合墊112之底部被佈置。在一些實施例中,上區段116包含一底表面,底表面係直接地自下區段114之上方橫向地延伸至橫向地通過下側壁中一個或多個。
接合墊112還包含一個或多個內側壁112s,其在接合墊112的頂表面內界定一個或多個空腔113(例如,一個或多個槽)。如沿圖1A的剖面視圖所見,一個或多個空腔113將接合墊112的頂表面分隔成複數個離散的頂表面區段112u。介電結構104係設置在一個或多個空腔113內,並且直接設置在複數個離散的頂表面區段112u之間。在一些實施例中,接合墊112的最低表面橫向地且連續地延伸超過界定一個或多個空腔113的一個或多個內側壁112s。
因為介電結構104係直接地設置在複數個離散的頂表面區段112u之間,所以複數個離散的頂表面區段112u中個別與用於形成接合墊112的化學機械拋光(chemical mechanical polishing;CMP)墊之間的重疊比不具有一個或多個空腔的接合墊之重疊較小。較小的重疊減少了複數個離散的頂表面區段112u中的個別的塌陷。當接合墊112與另一個接合墊進行接觸時,減少的塌陷減輕了空隙的形成,從而提高了多維度積體晶片結構的電性性能和/或可靠性。
圖1B係顯示了沿剖面線A-A'截取的圖1A的積體晶片結構100的一些實施例的上視圖118。在一些實施例中,圖1A的剖面視圖可以沿上視圖118的剖面線B-B'截取。
如上視圖118所示,接合墊112被介電結構104包圍。接合墊112的上區段116圍繞一個或多個空腔113(例如,一個或多個槽)延伸,空腔113係被介電結構104充滿。在一些實施例中,上區段116沿第一方向120和/或沿垂直於第一方向120的第二方向122在接合墊112的最外側壁之間連續地延伸。在一些實施例中,上區段116以封閉且不間斷的環路連續環繞一個或多個空腔113。上區段116直接在下區段114的一部分上方。在一些實施例中,一個或多個空腔113也可以直接地在下區段114的一部分上方。
圖2係顯示包含接合墊之多維度積體晶片結構200的一些實施例之剖面視圖,該接合墊分別具有一個或多個空腔。
多維度積體晶片結構200包含第一積體晶片(IC)晶粒202,其具有佈置在基材102上的介電質結構104內的複數個內連線106。在一些實施例中,複數個內連線106包含最頂部內連線108,最頂部內連線108接觸佈置在介電結構104內的接合墊112。在一些實施例中,複數個內連線106可以將接合墊112電性耦接至基材102上和/或基材102內的一個或多個半導體元件204。在各種實施例中,一個或多個半導體元件204可以包含電晶體管元件(例如,平面FET、FinFET、環柵(GAA)元件等)、圖像感測器元件(例如,光電二極體)、MEMS(微機電系統)元件或類似元件。接合墊112具有背向基材102的頂表面。接合墊112的頂表面沿介電結構104的背向基材102的頂表面設置。如在剖面視圖中所見,頂表面包括複數個離散的頂表面區段,該複數個離散的頂表面區段係由填充有介電結構104的一個或多個空腔113所分隔。
多維度積體晶片結構200還包含第二IC晶粒208,其具有佈置在附加基材212上的附加介電結構210內的複數個附加內連線214。在一些實施例中,一個或多個附加內連線214包含附加的最頂部內連線216,該最頂部內連線216接觸佈置在附加介電結構210內的附加接合墊218。在一些實施例中,複數個附加內連線214可以將附加接合墊218電性耦接到於附加基材212上和/或之內的一個或多個附加半導體元件222(例如,電晶體、圖像感測器元件、MEMS元件和/或類似元件)。附加接合墊218具有背向附加基材212的表面。附加接合墊218的表面沿附加介電結構210的背向附加基材212的表面設置。附加接合墊218的表面包含複數個離散的區段,如在剖面視圖中所見,這些區段由填充有附加介電結構210的一個或多個附加空腔220所隔開。
在一些實施例中,一個或多個虛設接合墊206可以沿著介電質結構104的背向基材102的頂表面佈置。一個或多個虛設接合墊206可以具有與接合 墊112相同的佈局。例如,一個或多個虛設接合墊206可以具有背向基材102的頂表面並且包含複數個離散的頂表面區段,如在剖面視圖中所見,這些頂表面區段被一個或多個填充有介電質結構104的空腔所隔開。在一些實施例中,一個或多個附加虛設接合墊224可沿附加介電質結構210的背向附加基材212的表面佈置。一個或多個附加虛設接合墊224可具有與附加接合墊218相同的佈局。
第一IC晶粒202沿混合接合界面接合到第二IC晶粒208,其中接合墊112沿導電界面接觸附加接合墊218,並且介電結構104沿介電界面接觸附加介電結構210。在一些實施例中,一個或多個空腔113內的介電結構104沿介電界面接觸一個或多個附加空腔220內的附加介電結構210。在一些實施例中,接合墊112的複數個離散的頂表面區段可以橫向地重疊附加接合墊218的表面的複數個離散的區段。在一些實施例中,附加接合墊218的至少一個側壁可以是直接地在接合墊112的頂表面上方。
因為介電結構104直接設置在接合墊112的多個離散的頂表面區段之間,所以接合墊112的頂表面係呈實質上平面。類似地,因為附加介電結構210直接設置在附加接合墊218的多個離散區段之間,所以附加接合墊218的表面實質上是平面的。接合墊112的實質上平坦的頂表面和附加接合墊218的實質上平坦的表面減少了沿接合墊112和附加接合墊218之間的界面的空隙,從而提高了多維度積體晶片結構200之電性性能和/或可靠性。
圖3A係顯示包含接合墊之積體晶片結構的一些附加實施例的剖面視圖,該所揭示的接合墊具有一個或多個空腔。
積體晶片結構300包含基材102上方的介電結構104。在一些實施例中,介電結構104包括彼此堆疊的複數個層間介電(inter-level dielectric;ILD)層104a-104d。複數個ILD層104a-104d可以藉由複數個蝕刻停止層105a-105c彼此垂直分離。頂部介電層105t沿著介電結構104的頂部佈置。在一些實施例中,多個ILD層104a-104d可以包括二氧化矽、碳摻雜二氧化矽、氧氮化矽、硼矽酸鹽 玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟化矽酸鹽玻璃(FSG)、多孔介電材料或類似材料中的一種或多種。在一些實施例中,複數個蝕刻停止層105a-105c和/或頂部介電層105t可以包含氮化物(例如,氮化矽、氮氧化矽等)、碳化物(例如,碳化矽、氧化矽-碳化物等)或類似物。
複數個內連線106係設置在介電結構104的下介電結構104L內。在一些實施例中,複數個內連線106包含導電觸點、內連導線、內連通孔或類似者。複數個內連線106包括最頂部內連線108。在一些實施例中,最頂部內連線108可以包括被阻擋層108b圍繞的導電芯108c。在一些實施例中,導電芯108c可以包含銅、鋁或類似材料。在一些實施例中,阻擋層108b可以包含鈦、氮化鈦、鉭、氮化鉭或類似材料。
接合墊112係設置在介電結構104內並且接觸最頂部內連線108。接合墊112包含沿著介電結構104的頂面所佈置的頂面。在一些實施例中,接合墊112包含下區段114和下區段114上方的上區段116。下區段114在耦接到接合墊112的一個或多個底表面的下側壁的相對最外邊緣之間橫向地延伸。在一些實施例中,下區段114可以具有第一寬度302,其在大約0.2μm(微米)和大約3μm之間、大約0.4μm和大約2μm之間或其他類似值的範圍內。上區段116在接合墊112的上側壁的相對的最外邊緣之間橫向延伸。在一些實施例中,上區段116可以具有第二寬度304,其係大於約1.5μm、大於約2μm或其他的相似數值。通常,具有相對較大尺寸(例如,大於大約1.5μm)的頂面的接合墊會出現明顯的塌陷,從而導致空洞的形成。然而,藉由在接合墊112的上區段116內具有一個或多個空腔113填充有介電結構104,接合墊112能夠具有相對大的尺寸(例如,大於大約1.5μm),其提供了與另一個IC的電性連接,同時避免明顯的塌陷。
上區段116的下表面物理地接觸下區段114的上表面。在一些實施例中,下區段114可以包含圍繞第一導電芯114c的第一阻擋層114b。在一些實施例中,上區段116可以包含圍繞第二導電芯116c的第二阻擋層116b。在一些實施 例中,第二阻擋層116b可以直接設置在第二導電芯116c和第一導電芯114c之間。在一些實施例中,第一導電芯114c和第二導電芯116c可以包含銅、鋁、鎢或類似材料。在一些實施例中,第一阻擋層114b和第二阻擋層116b可以包含鈦、氮化鈦、鉭、氮化鉭或類似材料。
在一些實施例中,上區段116的第二阻擋層116b可以在第一阻擋層114b和/或第一導電芯114c的頂部下方延伸非零距離。在一些實施例中,第一導電芯114c可以沿著第二阻擋層116b的側壁延伸。在一些這樣的實施例中,接合墊112的上最外側壁可以在接合墊112的下側壁的頂部下方垂直延伸。
接合墊112的頂面包含複數個離散的頂表面區段112u,其通過一個或多個由接合墊112的內側壁112s界定的空腔113彼此橫向地隔開。在一些實施例中,上區段116還可以包含由一個或多個空腔113彼此隔開的離散的下表面,如在剖面視圖中所見。在一些實施例中,離散的下表面可以分別具有第三寬度306,其係在大約0.2μm和大約3μm之間、大約0.4μm和大約2μm之間或其他類似數值的範圍內。介電結構104係直接設置在接合墊112的內側壁112s之間。
圖3B係顯示沿圖3A中剖面線A-A’截取之積體晶片結構300的一些實施例之上視圖308。在一些實施例中,圖3A之剖面視圖可以沿上視圖308之剖面線B-B’截取。
如上視圖308所示,接合墊112被介電結構104圍繞。接合墊112的上區段116圍繞下區段114的邊界延伸。在一些實施例中,一個或多個的空腔113延伸穿過上區段116並直接地覆蓋下區段114的一部分。在一些實施例中,一個或多個空腔113可以是被上區段116的連續環所圍繞的矩形空腔。在一些實施例中,上區段116的連續環沿著上區段116的外周邊延伸。
圖4A係顯示包含所揭示的接合墊之積體晶片結構400的一些附加實施例,該所揭示的接合墊具有一個或多個空腔。
積體晶片結構400包含設置在基材102上方的介電結構104內的接合墊112。接合墊112包含下區段114和下區段114上方的上區段116。下區段114橫向地延伸在耦接到接合墊112的底部的相對的最外側壁之間。上區段116在耦接到接合墊112的頂部的相對的最外側壁之間橫向地延伸。在一些實施例中,上區段116包含內側壁112s,其耦接到背向基材102的水平延伸表面112h,以在接合墊112的頂表面內形成一個或多個空腔113。水平延伸表面112h係直接位於上區段116的底表面117上方。
在一些實施例中,一個或多個空腔113具有小於上區段116的高度的深度,使得上區段116沿一個或多個腔體113的底部延伸。在一些實施例中,上區段116的底表面117橫向地且連續地延伸越過下區段114的相對的最外側壁並越過一個或多個空腔113。在一些實施例中,底表面117完全覆蓋下區段114的頂面。
圖4B係顯示沿圖4A中剖面線A-A’截取之積體晶片結構400的一些實施例之上視圖402。在一些實施例中,圖4A之剖面視圖可以沿上視圖402之剖面線B-B’截取。
如上視圖402所示,接合墊112被介電結構104包圍。接合墊112的上區段116圍繞下區段114的邊界延伸。在一些實施例中,一個或多的空腔113延伸穿過上區段116並直接覆蓋下區段114。
圖5係顯示包含所揭示的接合墊之積體晶片結構500的一些附加實施例,該所揭示的接合墊分別地具有一個或多個空腔。
多維度積體晶片結構500包含第一IC晶粒202,該第一IC晶粒202具有佈置在基材102上方的介電結構104內的接合墊112。接合墊112具有背向基材102的頂表面。頂表面包含複數個離散的頂表面區段,這些區段由一個或多個由側壁界定的空腔113和接合墊112的水平延伸表面所隔開。一個或多個空腔113填充有介電結構104。
多維度積體晶片結構500還包含第二個IC晶粒,第二個IC晶粒具有佈置在附加基材212上之附加介電結構210內之附加接合墊218。附加接合墊218具有背向附加基材212之表面。該表面包含複數個離散的區段,該區段係被一個或多個附加空腔220所分離,一個或多個附加空腔220係由側壁及附加接合墊218之水平延伸表面所界定。一個或多個附加空腔220填充有附加介電結構210。
在一些實施例中,一個或多個虛設接合墊206可以沿著介電結構104的背向基材102的頂表面佈置。一個或多個虛設接合墊206可以具有與接合墊112相同的佈局。在一些實施例中,一個或多個附加虛設接合墊224可以沿著附加介電結構210的背向附加基材212的表面佈置。一個或多個附加虛設接合墊224可以具有與該附加接合墊224相同的佈局。
第一IC晶粒202沿混合接合界面接合到第二IC晶粒208,其中接合墊112沿導電界面接觸附加接合墊218,並且介電結構104沿介電界面接觸附加介電結構210(例如,於一個或多個空腔113內的介電結構104沿介電界面接觸於一個或多個附加空腔220內的附加介電結構210)。在一些實施例中,接合墊112的複數個離散的頂表面區段和/或附加接合墊218的複數個離散的區段可能具有輕微的塌陷,這導致沿著導電界面形成小空隙502。然而,因為一個或多個空腔減輕了CMP塌陷,所以小空隙502對多維度積體晶片結構500的性能具有最小的影響。在一些實施例中,小空隙502可以沿一部分橫向地延伸,但不是所有的導電界面(例如,小空隙502可以從接合墊112的複數個離散的頂表面區段的相對側後退非零距離)。
儘管所揭示的多維度積體晶片結構(例如,多維度積體晶片結構200和/或500)被示為三維度積體晶片(3DIC)結構,但是應當理解,所揭示的接合墊是不限於此種結構,而是可以整合在各種多維度積體晶片架構中。例如,在 替代實施例中,所揭示的接合墊可以整合在晶圓上晶片(CoW)結構、晶圓上晶圓(WoW)結構或類似結構內。
圖6A係顯示包含所揭示的接合墊之積體晶片結構600的一些附加實施例之剖面視圖,該所揭示的接合墊具有一個或多個空腔。
積體晶片結構600包含設置在基材102上方的介電結構104之內的一個或多個內連線106。在一些實施例中,介電結構104包括彼此堆疊的複數個層間介電(ILD)層104a-104e。複數個ILD層104a-104e可以藉由複數個蝕刻停止層105a-105d彼此垂直分離。頂部介電層105t沿著介電結構104的頂部佈置。
接合墊112係設置在介電結構104內。接合墊112接觸複數個內連線106之最頂部內連線108。接合墊112包含下區段114和下區段114上方的上區段116。下區段114在耦接到接合墊112的底部的相對外側壁之間橫向地延伸。上區段116在接合墊112的相對的最外側壁之間橫向延伸。在一些實施例中,下區段114可以包含圍繞第一導電芯114c的第一阻擋層114b。在一些實施例中,上區段116可以包含圍繞第一導電芯114c的一部分的第一阻擋層114b的一部分和圍繞第二導電芯116c的第二阻擋層116b。在一些實施例中,第二阻擋層116b可以直接設置在第二導電芯116c和第一導電芯114c之間。在一些實施例中,第二阻擋層116b可以在上區段116的底部上方(例如,接合墊112的相對的最外側壁的底部上方)的位置處接觸第一導電芯114c。在一些實施例中,第二阻擋層116b可以在第一阻擋層114b和/或第一導電芯114c的頂部下方延伸非零距離。
在一些實施例中,一個或多個空腔113延伸到接合墊112的上區段116中。一個或多個空腔可以由第二阻擋層116b的側壁和由第一導電芯114c的上表面界定。一個或多個空腔的高度小於上區段116的高度(例如,小於接合墊112的相對的最外側壁的高度)。
圖6B係顯示沿圖6A中剖面線A-A’截取之積體晶片結構600的一些實施例之上視圖602。在一些實施例中,圖6A之剖面視圖可以沿上視圖602之剖面線B-B’截取。
如上視圖602所示,接合墊112之上區段116被介電結構104圍繞,及圍繞下區段114的邊界延伸。在一些實施例中,一個或多個的空腔113延伸穿過上區段116並直接地覆蓋下區段114的一部分。在一些實施例中,一個或多個空腔113可以是被上區段116的連續環所圍繞的矩形空腔。在一些實施例中,一個或多個的空腔113可以直接覆蓋下區段114的一部分。
應當理解,在各種實施例中,所揭示的接合墊的上區段可以具有界定一個或多個具有不同形狀和/或空間配置的空腔的內側壁。圖7A至7C係顯示包含所揭示的接合墊之積體晶片結構的不同實施例之上視圖,該所揭示的接合墊具有以不同形狀及/或空間配置之一個或多個空腔。
圖7A係顯示積體晶片結構的一些實施例的上視圖700,該積體晶片結構包含具有一個或多個分別具有正方形狀的空腔113的接合墊112。正方形狀空腔沿著第一方向120延伸到第一距離702並且沿著垂直於第一方向120的第二方向122延伸到第二距離704。第一距離702大約等於第二距離704。正方形狀空腔被接合墊112的上區段116的連續外環包圍,該外環環繞正方形狀空腔。在一些實施例中,接合墊112的上區段116包含耦接到上區段116的外環的十字形區域。十字形區域將一個或多個空腔113沿第一方向120和沿著第二方向122彼此分開。正方形狀空腔和十字形區域直接位於接合墊112的下區段114上方。上區段116的外環橫向地位於接合墊112的下區段114的外側。
圖7B係顯示積體晶片結構的一些實施例的上視圖706,該積體晶片結構包分別含具有一個或多個具十字形空腔113的接合墊112。該十字形空腔被接合墊112的上區段116的離散部分所包圍。接合墊112的上區段116的離散部分直接位於接合墊112的下區段114的上方。該十字形空腔具有分支,這些分支 沿第一方向120和沿垂直於第一方向120的第二方向122將上區段116的離散部分彼此完全分開。
圖7C係顯示積體晶片結構的一些實施例的上視圖708,該積體晶片結構包分別含具有一個或多個具正方形狀空腔113的接合墊112。正方形狀空腔被接合墊112的上區段116的連續外環所包圍,該接合墊112捲繞在正方形狀腔周圍。正方形空腔直接覆蓋在正方形狀空腔上,正方形狀空腔延伸通過接合墊112的下區段114。在一些實施例中,接合墊112的下區段具有與接合墊112的上區段116相同的圖案。
圖8A係顯示包含所揭示的接合墊之積體晶片結構的一些附加實施例之上視圖,該所揭示的接合墊具有一個或多個空腔。
積體晶片結構800包含佈置在介電結構104內的接合墊112。接合墊112包含下區段114和下區段114上方的上區段116。上區段116包含內側壁,其界定被連續外環所包圍的一個或多個空腔113。一個或多個空腔113填充有介電結構104。
下區段114可以包含排列在陣列中的複數個離散的下區段114d。複數個離散的下區段114d可沿第一方向120以第一距離802和沿垂直於第一方向120的第二方向122以第二距離804而分開。在一些實施例中,複數個離散的下區段114d可以包括方形區段。在其它實施方式(未示出)中,多個離散的下區段114d可以包括其他形狀(例如,圓形區段、八角形區段、多邊形區段或類似形狀區段)。在一些實施例中,複數個離散的下區段114d被上區段116完全覆蓋。
圖8B係顯示沿圖8A中剖面線A-A’截取之積體晶片結構的一些附加實施例之剖面視圖806。
如剖面視圖806所示,一個或多個空腔113完全通過接合墊112而延伸,使得介電結構104的一部分連續地從接合墊112的頂部延伸到接合墊112的底部,該部分係在接合墊112的一個或多個側壁之間。在一些實施例中,介電結 構104的一部分係位於接合墊112的一個或多個側壁之間,並從接合墊112的頂部連續延伸到介電結構104內的最頂部內連線108。
接合墊112的下區段114在第一方向120與接合墊112的下側壁的相對外邊緣之間橫向地延伸。下側壁沿著接合墊112的底部佈置。在一些實施例中,多個離散的下區段114d包括與複數個最高內連線線108接觸的離散的下表面和複數個與上區段116接觸的離散的上表面。下區段114d的複數個離散的下表面和複數個離散的上表面均在第一方向120上被介電結構104橫向地彼此分開。
接合墊116的上區段112橫向地延伸到接合墊112的最外側壁之間。最外側壁沿著接合墊112的頂部佈置。在一些實施例中,上區段116可以包含複數個被介電結構104橫向地彼此分開的離散的下表面。在一些實施例中,上區段116的複數個離散的下表面可以分別具有比複數個離散的下區段114d的複數個離散的上表面的相應寬度有更大的寬度。在一些實施例中,上區段116的複數個離散的下表面可以分別延伸到複數個離散的下區段114d的複數個離散的上表面的一個或多個外邊緣。
圖8C係顯示沿圖8A中剖面線B-B’截取之積體晶片結構的一些附加實施例之剖面視圖808。
如剖面視圖808所示,於下區段114內的複數個離散的下區段114d沿第二方向122彼此分離。上區段116具有下表面,其在第二方向122上連續地延伸到多個離散的下區段114d上。
可以理解的是,在各種實施例中,所揭示的接合墊的下區段可以具有多個具有不同形狀和/或空間配置的離散的下區段。圖9A至9C係顯示包含所揭示的接合墊之積體晶片結構的一些附加實施例之上視圖,該所揭示的接合墊具有一個或多個空腔。
圖9A係顯示包含接合墊112之積體晶片結構的之上視圖900,該接合墊112具有下區段114和下區段114上方的上區段116。下區段114包含排列在 陣列中的複數個離散的下區段114d,複數個離散的下區段114d可沿第一方向120和第二方向122延伸。上區段116直接覆蓋陣列內複數個離散的下區段114d。上區段116包括界定一個或多個具有矩形形狀的空腔113的側壁。矩形狀空腔沿第一方向120延伸至第一距離902,並沿第二方向122延伸至第二距離904。第二距離904小於第一距離902。矩形狀空腔被上區段116的連續外環包圍。橫桿延伸到上區段116的連續外環的部件之間,以分離矩形形狀空腔。
圖9B係顯示包含接合墊112之積體晶片結構的之上視圖906,該接合墊112具有下區段114和上區段116。下區段114包含複數個離散的下區段114d,這些下區段114d被排列在第一方向120和第二方向122延伸的陣列中。上區段116直接覆蓋陣列內複數個離散的下區段114d。上區段116包含分別界定一個或多個具有正方形形狀的空腔113的側壁。方形空腔被上區段116的連續外環包圍。上區段116的十字形區域被連續的外環包圍,並沿第一方向120和沿第二方向122分離正方形空腔。該十字形區域包括在第一方向120上延伸的第一橫桿和在第二方向122上延伸的第二橫桿。
圖9C係顯示包含接合墊112之積體晶片結構的之上視圖908,該接合墊112具有下區段114和上區段116。下區段114包括複數個離散的下區段114d,這些下區段114d被排列在第一方向120和第二方向122延伸的陣列中。上區段116包括複數個離散的上區段116d,這些上區段116d被佈置成沿第一方向120和第二方向122延伸的陣列。在一些實施例中,複數個離散的下區段114d可以具有比複數個離散上區段116d更小的尺寸。在一些實施例中,複數個離散的上區段116d可以完全覆蓋複數個離散的下區段114d。
圖10A係顯示包含所揭示的接合墊之積體晶片結構1000的一些附加實施例之上視圖,該所揭示的接合墊具有一個或多個空腔。
積體晶片結構1000包含排列在介電結構104內的接合墊112。接合墊112包含下區段114和下區段114上方的上區段116。下區段114和上區段116分 別包含界定一個或多個空腔113的內側壁,空腔113填充有介電結構104。在一實施例中,一個或多個空腔113分別具有矩形形狀。下區段114和上區段116在圍繞一個或多個空腔113之封閉環路連續地延伸。在一些實施例中,下區段114完全被上區段116覆蓋。在一些實施例中,下區段114的內側壁沿第一方向和沿第二方向被分隔的距離較上區段116的內側壁更大。
在一些實施例中,上區段116包含圍繞一個或多個空腔113的上外環區段和在上外環區段的部件之間延伸的上橫桿。下區段114在一個或多個空腔113周圍以閉環形式連續延伸。在一些具體實施方式中,下區段114包括圍繞一個或多個空腔的下外環區段和在下外環區段之部件間延伸的下橫桿。上外環區段直接位於下外環區段上方,上橫桿直接位於下橫桿上方。一個或多個空腔113填充有介電結構104。
圖10B係顯示圖10A之積體晶片結構1000沿剖面線A-A'截取的一些附加實施例之剖面視圖1002。
如剖面視圖1002所示,接合墊112包含具有離散的上表面的下區段114和具有離散下表面的上區段116。複數個離散的上表面和複數個離散的下表面通過介電結構104沿第一方向120彼此分開。
圖10C係顯示圖10A之積體晶片結構沿剖面線B-B’截取的一些附加實施例之剖面視圖1004。
如剖面視圖1004所示,接合墊112包括具有下表面和上表面的下區段114,該下表面和上表面分別延伸到耦接到下表面的下側壁的外邊緣之間。接合墊112還包含具有下表面和上表面的的上區段116,該下表面和上表面分別延伸到耦接到頂表面的上側壁的外邊緣之間。在一些實施例中,上區段116的下表面連續地延伸通過下區段114的上表面的相對兩側。
圖11至18係顯示形成包含所揭示的接合墊之積體晶片結構之方法的一些實施例,接合墊具有被配置為減少接合墊塌陷之一個或多個空腔。雖 然圖11至18是有關於方法被描述,但可以理解的是,圖11至18中揭示的結構並不局限於這種方法,而是取而代之可以單獨作為獨立於該方法的結構。
如圖11的剖面視圖1100所示,提供一基材102。在各種實施例中,基材102可以是任何類型的半導體本體(例如,矽、SiGe、SOI等),例如半導體晶圓和/或晶圓上的一個或多個晶粒,以及與其相關的任何其他類型的半導體和/或磊晶層。在一些實施例(未示出)中,一個或多個半導體元件形成於基材102上和/或基材102內。在各種實施例中,一個或多個半導體元件可以包含電晶體元件、影像感測器元件、MEMS元件和/或類似元件。
一個或多個內連線106被形成在基材102上方形成的下介電結構104L內。下介電結構104L可以包含由一個或多個蝕刻停止層105a分開的一個或多個下層間介電(inter-level dielectric;ILD)層104a-104b。在一些實施例中,一個或多個內連線106可以包含最頂部內連線108,其包含阻擋層108b和導電芯108c。在一些實施例中,可以使用鑲嵌製程(例如,單鑲嵌製程或雙鑲嵌製程)形成最頂部內連線108。通過在基材102上形成ILD層、蝕刻ILD層以形成通孔和/或溝槽、用阻擋層和導電材料填充通孔和/或溝槽來執行鑲嵌製程,以及執行平坦化製程(例如,CMP製程)。在一些實施例中,下介電結構104L可以包含二氧化矽、碳摻雜二氧化矽、氮氧化矽、BSG、PSG、BPSG、ESG、多孔介電材料或類似材料。在一些實施例中,下介電結構104L可以通過一種或多種沉積製程(例如,物理氣相沉積(PVD)製程、化學氣相沉積(CVD)製程、電漿增強化學氣相沉積(PE-CVD)製程、原子層沉積(atomic layer deposition;ALD)製程等),及可以使用沉積)製程和/或電鍍)製程(例如,電鍍、無電解電鍍等)形成導電材料(例如鎢、銅、鋁等)。
如圖12的剖面視圖1200所示,第一上介電結構1201形成在下介質電結構104L上方。在一些實施例中,第一上介電結構1201包括形成在下介電結構104L上方的第一接合墊蝕刻停止層105b和形成在第一接合墊蝕刻停止層105b 上方的第一接合墊ILD層104c。在一些實施例中,第一接合墊蝕刻停止層105b可以包含氮化物(例如,氮化矽、氮氧化矽等)、碳化物(例如,碳化矽、碳氧化矽等)等。在一些實施例中,第一接合墊ILD層104c可以包含二氧化矽、碳摻雜二氧化矽、氮氧化矽、BSG、PSG、BPSG、FSG、多孔介電材料或類似材料。在一些實施例中,第一接合墊蝕刻停止層105b和第一接合墊ILD層104c可以通過沉積製程(例如,PVD製程、CVD製程、PE-CVD製程、ALD製程等)而形成。
第一接合墊開口1202形成在第一上介電結構1201內。在一些實施例中,可以根據第一圖案化製程形成第一接合墊開口1202。在一些實施例中,第一圖案化製程可以通過根據第一遮罩1206將第一上介電結構1201選擇性地暴露於第一蝕刻劑1204來執行。第一圖案化製程形成第一上介電結構1201的側壁,其界定了第一接合墊開口1202。在一些實施例中,第一蝕刻劑1204可以包含具有基於氟的蝕刻化學物質的等離子體蝕刻劑(例如,SF6等離子體等)。在一些實施例中,第一遮罩1206可以包含光敏材料(例如,光阻劑)、硬遮罩(hard mask)等。
如圖13的剖面視圖1300所示,第一阻擋層114b和第一導電芯114c形成在第一接合墊開口1202內。在這樣的實施例中,第一阻擋層114b可以沿著界定第一接合墊開口1202界定第一接合墊開口1202之第一上介電結構1201的內表面被形成。第一導電芯114c可以隨後形成在第一阻擋層114b上方和第一接合墊開口1202內。在一些實施例中,第一阻擋層114b可以通過沉積製程(例如,PVD製程、CVD製程、PE-CVD製程、ALD製程等)而形成。在一些實施例中,第一導電芯114c可以通過使用沉積製程和/或電鍍製程(例如,電鍍、無電解電鍍等)沉積第一導電材料來形成。在各種實施例中,第一阻擋層114b可以包含鈦、鉭、氮化鈦、氮化鉭或類似材料。在各種實施例中,第一導電材料可以包括銅、鋁、鎢或類似材料。在第一接合墊開口1202內沉積第一導電材料之後,可以執行平坦化製程以從第一上介電結構1201上方去除多餘的第一導電材料並界定接合墊 的下區段114。在一些實施例中,平坦化製程可以包含化學機械拋光(CMP)製程。在其他實施例中,平坦化製程可以包含例如蝕刻製程和/或研磨製程。
如圖14的剖面視圖1400所示,第二上介電結構1401形成在第一上介電結構1201上方。在一些實施例中,第二上介電結構1401包含形成在第一接合墊ILD層104c上的第二接合墊蝕刻停止層105c、形成在第二接合墊蝕刻停止層105c上的第二接合墊ILD層104d,頂部介電層105t形成在第二接合墊ILD層104d上。在一些實施例中,第二接合墊蝕刻停止層105c和/或頂部介電層105t可以包括氮化物(例如,氮化矽、氮氧化矽等)、碳化物(例如,碳化矽、碳氧化矽等)或類似材料。在一些實施例中,第二接合墊ILD層104d可以包括二氧化矽、碳摻雜二氧化矽、氮氧化矽、BSG、PSG、BPSG、FSG、多孔介電材料或類似材料。在一些實施例中,第二接合墊蝕刻停止層105c、第二接合墊ILD層104d和頂部介電層105t可以通過沉積製程(例如,PVD製程、CVD製程、PE-CVD製程、ALD製程等)。
如圖15的剖面視圖1500所示,第二接合墊開口1502形成在第二上介電結構1401內。在一些實施例中,第二接合墊開口1502可以根據第二圖案化製程被形成。在一些實施例中,第二圖案化製程可以根據第二光罩1506將第二上介電結構1401選擇性地暴露於第二蝕刻劑1504來執行。第二圖案化製程形成界定第二接合墊開口1502的第二上介電結構1401的側壁。在一些實施例中,第二蝕刻劑1504可包含具有基於氟的蝕刻化學物質的電漿蝕刻劑(例如,SF6電漿或類似者)。在一些實施例中,第二光罩1506可以包含光敏材料(例如,光阻劑)、硬遮罩或類似者。
如圖16的剖面視圖1600所示,阻擋材料1602和第二導電材料1604形成在第二接合墊開口1502內和頂部介電層105t上方。阻擋材料1602可沿界定第二接合墊開口1502的內表面形成。第二導電材料1604可隨後形成在阻擋材料1602上方和第二接合墊開口1502內。在一些實施例中,阻擋材料1602可通過沉 積製程(例如,PVD製程、CVD製程、PE-CVD製程、ALD製程等)形成。在一些實施例中,第二導電材料1604可以通過沉積製程和/或電鍍製程(例如,電鍍、無電解電鍍等)的方式形成。在各種實施例中,阻擋材料1602可包括鈦、鉭、氮化鈦、氮化鉭或類似材料。在不同的實施例中,第二導電材料可包括銅、鋁、鎢或類似材料。
如圖17A的剖面視圖1700和圖17B的上視圖1706所示,在第二接合墊開口(例如,圖16的1502)內形成第二導電材料(例如,圖16的1604)之後,可以執行平坦化製程(沿線1702)。該平坦化製程從頂部介電層105t上去除多餘的第二導電材料,以界定第一IC晶粒202上的接合墊112的上區段116。接合墊112的上區段116包括第二阻擋層116b和第二阻擋層116b上的第二導電芯116c。接合墊112的上區段116包括一個頂面,該頂面具有多個不連續的頂表面區段112u,這些頂表面區段通過一個或多個由接合墊112的內側壁界定的空腔113橫向地彼此分開,如在剖面視圖1700中所看到的。一個或多個空腔113被第二上介電結構(例如,頂部介電層105t、第二接合墊ILD層104d和第二接合墊蝕刻停止層105c)所填充。
在一些實施例中,平坦化製程可以包括化學機械拋光(CMP)製程。在CMP製程中,第一IC晶粒202的上表面與拋光墊1704接觸,從而使頂部介電層105t和接合墊112的上區段116與拋光墊1704接觸。由於頂部介電層105t直接配置在接合墊112的上部區段116的內側壁之間,拋光墊1704和複數個離散的頂表面區段112u中的個別的重疊被減少。減少拋光墊1704和複數個離散的頂表面區段112u的個別的之間的重疊會減少了複數個離散的頂表面區段112u的個別的塌陷。
如圖18的剖面視圖1800所示,第一IC晶粒202的接合墊112接合至第二IC晶粒208的附加接合墊218以形成多維度積體晶片結構。在接合過程中,接合墊112的複數個離散的頂表面區段與附加接合墊218的多個離散區段接觸。 此外,介電結構104的頂表面與第二IC晶粒208的附加介電結構210的表面接觸,從而在第一IC晶粒202和第二IC晶粒208之間形成混合接合介面。接合墊112的多個離散的頂表面區段所減少的塌陷會使接合墊112和附加接合墊218之間的空隙的形成減少,從而改善了多維度積體晶片結構的電性性能和/或可靠性。
圖19至28係顯示形成包含所揭示的接合墊之積體晶片結構之方法的一些附加實施例,接合墊具有被配置為減少接合墊塌陷之一個或多個空腔。雖然圖19至28是有關於方法來描述,但可以理解的是,圖19至28中揭示的結構並不局限於這種方法,而是取而代之可以單獨作為獨立於該方法的結構。
如圖19的剖面視圖1900所示,提供了一個基材102。一個或多個內連線106形成在下介電結構104L內,下介電結構104L係形成在基材102上方。在一些實施例中,一個或多個內連線106可包含由阻擋層108b和導電芯108c組成的最頂部內連線108。
如圖20的剖面視圖2000所示,在下介電結構104L上形成第一上介電結構2001。在一些實施例中,第一上介電結構2001包括在下介電結構104L上形成的第一接合墊蝕刻停止層105b、在第一接合墊蝕刻停止層105b上形成的第一接合墊ILD層104c、在第一接合墊ILD層104c上形成的第二接合墊蝕刻停止層105c以及在第二接合墊蝕刻停止層105c上形成的第二接合墊ILD層104d。
在第一上介電結構2001內形成中間第一接合墊開口2002。在一些實施例中,中間第一接合墊開口2002可根據第一圖案化製程形成。在一些實施例中,第一圖案化製程可以通過根據第一遮罩2006將第一上介電結構2001選擇性地暴露於第一蝕刻劑2004而執行。
如圖21的剖面視圖2100所示,在中間第一接合墊開口2002內形成犧牲遮罩2102。犧牲遮罩2102可以包含介電材料、光刻膠材料或類似材料。
如圖22的剖面視圖2200所示,在第一上介電結構2001內形成第一接合墊開口2202。在一些實施例中,第一接合墊開口2202可根據第二圖案化製 程形成。在一些實施例中,第二圖案化製程可以通過根據第二遮罩2206將第一上介電結構2001選擇性地暴露於第二蝕刻劑2204來執行。
如圖23的剖面視圖2300所示,犧牲遮罩(例如,圖22的2102)從第一接合墊開口2202內被移除。在各種實施例中,犧牲遮罩2102可以通過化學顯影劑、蝕刻製程或類似的方式被移除。
如圖24的剖面視圖2400所示,第一阻擋層114b形成在第一接合墊開口2202內,第一導電芯114c形成在第一阻擋層114b上並在第一接合墊開口2202內。在這樣的實施例中,第一阻擋層114b可以沿著界定第一接合墊開口2202的內表面形成。第一導電芯114c可隨後在阻擋層114b上並在第一接合墊開口2202內形成。
如圖25的剖面視圖2500所示,在第一上介電結構2001上方形成第二上介電結構2501。在一些實施例中,第二上介電結構2501包含形成在第二接合墊ILD層104d上的第三接合墊蝕刻停止層105d、形成在第三接合墊蝕刻停止層105d上的第三接合墊ILD層104e以及形成在第三接合墊ILD層104e上方的頂部介電層105t。
在第二上介電結構2501內形成第二接合墊開口2502。在一些實施例中,第二接合墊開口2502可以根據第三圖案化製程形成。在一些實施例中,第三圖案化製程可通過根據第三遮罩2506將第二上介電結構2501選擇性地暴露於第三蝕刻劑2504來執行。第三圖案化製程形成第二上介電結構2501的側壁,該側壁界定第二接合墊開口2502。
如圖26的剖面視圖2600所示,在第二接合墊開口2502內和在頂部介電層105t上形成阻擋材料2602和導電材料2604。在這樣的實施例中,阻隔材料2602可以沿著界定第二接合墊開口2502的內表面形成。導電材料2604可隨後在阻隔材料2602上和第二接合墊開口2502內形成。
如圖27A的剖面視圖2700和圖27B的上視圖2706所示,在第二接合墊開口2502內形成導電材料(例如圖26的2604)之後,可以執行平坦化製程(沿著線2702)。該平坦化製程從第二上介電結構上去除多餘的導電材料,以界定第一IC晶粒202上的接合墊112的上區段116。接合墊112的上區段116包括第一阻擋層114b的一部分、第一導電芯114c的一部分、第二阻擋層116b以及第二阻擋層116b上方的第二導電芯116c。接合墊112的上部區段116包含頂表面,其包含複數個離散的頂表面區段112u,這些區段通過一個或多個空腔113彼此橫向地分開,這些空腔由接合墊112的內側壁界定。一個或多個空腔113被第二上介電結構填充。
在一些實施例中,平坦化製程可以包括化學機械拋光(CMP)製程。在CMP製程中,第一IC晶粒202的上表面進而與拋光墊2704接觸,從而使頂部介電層105t和接合墊112的上區段116進而與拋光墊2704接觸。由於頂部介電層105t直接配置在接合墊112的上部區段116的內側壁之間,拋光墊2704和多個離散的頂表面區段112u中的個別的重疊被減少。拋光墊1704和複數個離散的頂表面區段112u的個別的之間減少的重疊也會減少多個離散頂表面區段112u的個別的塌陷。
如圖28的剖面視圖2800所示,第一IC晶粒202的接合墊112被結合到第二IC晶粒208的附加接合墊218。在接合期間,接合墊112的多個離散頂表面區段進而接觸附加接合墊218的多個附加的離散區段。此外,介電結構104的上表面被帶入與第二IC晶粒208的附加介電結構210的表面接觸,以便在第一IC晶粒202和第二IC晶粒208之間形成混合接合介面。
在一些替代實施例中,圖25至27B的操作可以被省略,並且第一晶粒具有賦以圖24的結構的接合墊的可以沿著混合接合介面(例如,沿著第一阻擋層114b和第一導電芯114c的頂部延伸)接合到賦以圖24的結構的接合墊的附加晶粒。
圖29至35顯示形成積體晶片結構的方法的一些附加的實施例,該積體晶片結構包括揭示的接合墊,該接合墊具有一個或多個空腔,被配置為減少接合墊的塌陷。雖然圖29至35是有關於方法來描述,但可以理解的是,圖29至35中揭示的結構並不局限於這種方法,而是取而代之可以單獨作為獨立於該方法的結構。
如圖29的剖面視圖2900所示,提供了一個基材102。一個或多個內連線106被成在下介電結構104L內,下介電結構104L係形成在基材102上方。在一些實施例中,一個或多個內連線106可以包含由阻擋層108b和導電芯108c組成的最頂部內連線108。
如圖30的剖面視圖3000所示,在下介電結構104L上形成第一上介電結構3001。在一些實施例中,第一上介電結構3001包含形成在下介電結構104L上方的第一接合墊蝕刻停止層105b和形成在第一接合墊蝕刻停止層105b上的第一接合墊ILD層104c。在第一上介電結構3001內形成一個或多個第一接合墊開口3002。在一些實施例中,一個或多個第一接合墊開口3002可根據第一圖案化製程形成。在一些實施例中,第一圖案化製程可以根據第一遮罩3006而執行將第一上介電結構3001選擇性地暴露於第一蝕刻劑3004。
如圖31A的剖面視圖3100所示,第一阻擋層114b和第一導電芯114c形成在一個或多個第一接合墊開口3002內。在一些實施例中,第一阻擋層114b可沿界定一個或多個第一接合墊開口3002的第一上介電結構3001的內表面形成。第一導電芯114c可隨後在第一阻擋層114b上和一個或多個第一接合墊開口3002內形成。在將第一導電材料沉積在一個或多個第一接合墊開口3002內之後,平坦化製程可被執行以從第一上介電結構3001上方去除多餘的第一導電材料,並界定接合墊的下區段114。
在一些實施例中,如圖31B的上視圖3102所示,接合墊的下區段114可包括複數個離散的下區段114d。複數個離散的下區段114d可以形成一個陣 列。複數個離散的下區段114d可沿第一方向120和沿垂直於第一方向120的第二方向122被分開。在一些實施例中,圖31A的剖面視圖3100是沿著圖31B的剖面線A-A'截取。
在一些替代實施例中,如圖31C的上視圖3104所示,接合墊的下區段114可包括單個連續區段,該連續區段圍繞一個或多個空腔113以封閉環路方式連續延伸。在一些實施例中,一個或多個空腔113分別具有矩形的形狀。在一些實施例中,圖31A的剖面視圖3100沿圖31C的剖面線A-A'截取。
如圖32的剖面視圖3200所示,在第一上介電結構3001上方形成第二上介電結構3201。在一些實施例中,第二上介電結構3201包括形成在第一接合墊ILD層104c上方的第二接合墊蝕刻停止層105c、形成在第二接合墊蝕刻停止層105c上的第二接合墊ILD層104d以及形成在第二接合墊ILD層104d上方的頂部介電層105t。
如圖33的剖面視圖3300所示,在第二上介電結構3201內形成一個或多個第二接合墊開口3302。在一些實施例中,一個或多個第二接合墊開口3302可以根據第三圖案化製程形成。在一些實施例中,第三圖案化製程可通過根據第三遮罩3306將第二上介電結構3201選擇性地暴露於第三蝕刻劑3304來執行。第三圖案化製程形成第二上介電結構3201的側壁,該側壁界定一個或多個第二接合墊開口3302。
如圖34A的剖面視圖3400所示,在一個或多個第二接合墊開口3302內形成第二阻擋層116b和第二導電芯體116c。在一些實施例中,第二阻擋層116b可以沿著界定一個或多個第二接合墊開口3302的第二上介電結構3201的內表面形成。第二導電芯116c可隨後在第二阻擋層116b上和一個或多個第二接合墊開口3302內形成。可以執行平坦化製程以去除第二阻擋層116b和第二導電芯116c的部分,以形成接合墊的上區段116。
在一些實施例中,如圖34B的上視圖3402所示,接合墊的上區段116可以包括多個離散的上區段116d。多個離散的上區段116d可以形成一個陣列。多個離散的上區段116d可以沿第一方向120和第二方向122被分開。在一些實施例中,圖34A的剖面視圖3400是沿著圖34B的剖面線A-A'截取。
在一些替代實施例中,如圖34C的上視圖3404和圖34D的上視圖3406所示,接合墊的上區段116可以包括單一的連續區段,該連續區段圍繞一個或多個空腔113以封閉環路方式連續延伸。在一些實施例中,單個連續區段可直接覆蓋多個離散的下區段114d(例如,如圖31B所示),而在其他實施例中,單個連續段可直接覆蓋單個連續的下區段(例如,如圖31C所示)。在一些實施例中,圖34A的剖面視圖3400是沿著圖34C的剖面線A-A'或沿著圖34D的剖面線A-A'擷取。
如圖35的剖面視圖3500所示,第一IC晶粒202的接合墊112被結合到第二IC晶粒208的附加接合墊218。在接合期間,接合墊112的多個離散頂表面區段進而接觸附加接合墊218的複數個附加的離散區段。此外,介電結構104的上表面與第二IC晶粒208的附加介電結構210的表面接觸,從而在第一IC晶粒202和第二IC晶粒208之間形成混合接合介面。
圖36示出了形成積體晶片結構的方法3600的一些實施例的流程圖,該方法包括揭示的接合墊,該接合墊具有一個或多個空腔,被配置為減少接合墊的塌陷。
雖然在此將方法3600作為一系列操作或事項進行說明和描述,但可以理解的是,所說明的此類操作或事項的順序不應解釋為限制性意涵。例如,一些操作可能以不同的順序發生和/或與本文所說明和/或描述的操作或事件之外的其他操作或事件同時發生。此外,並非所有說明的操作都需要實現本文描述的一個或多個方面或實施例。此外,本文描繪的一個或多個操作可以在一個或多個單獨的操作和/或階段中進行。
在操作3602中,在基底上的下介電結構之內形成一個或多個內連線。圖11說明了對應於操作3602的一些實施例的剖面視圖1100。圖19說明了對應於操作3602的一些附加的實施例的剖面視圖1900。圖29說明了對應於操作3602的一些附加實施例的剖面視圖2900。
在操作3604中,在下部介電結構上形成第一上部介電結構。圖12說明了對應於操作3604的一些實施例的剖面視圖1200。圖20說明了對應於操作3604的一些附加實施例的剖面視圖2000。圖30說明了對應於操作3604的一些附加實施例的剖面視圖3000。
在操作3606中,在第一上介電結構內形成第一接合墊開口。圖12說明了對應於操作3606的一些實施例的剖面視圖1200。圖20至23說明了對應於操作3606的一些附加實施例的剖面視圖2000-2300。圖30說明了對應於操作3606的一些附加的實施例的剖面視圖3000。
在操作3608中,於第一接合墊開口內形成第一阻擋層和第一導電芯。圖13顯示對應於操作3608的一些實施例的剖面視圖1300。圖24說明了與操作3608相對應的一些附加實施例的剖面視圖2400。圖31A說明了對應於操作3608的一些附加實施例的剖面視圖3100。
在操作3610中,在第一上介電結構上形成第二上介電結構。圖14說明了對應於操作3610的一些實施例的剖面視圖1400。圖25說明了對應於操作3610的一些附加實施例的剖面視圖2500。圖32說明了對應於操作3610的一些附加實施例的剖面視圖3200。
在操作3612中,在第二上介電結構內形成第二接合墊開口,以暴露第一導電芯並包圍第二上介電結構的一個或多個內側壁。圖15說明了對應於操作3612的一些實施例的剖面視圖1500。圖25說明了對應於操作3612的一些附加的實施例的剖面視圖2500。圖33說明了對應於操作3612的一些附加實施例的剖面視圖3300。
在操作3614中,在第二接合墊開口內形成阻擋材料和導電材料。圖16說明了對應於操作3614的一些實施例的剖面視圖1600。圖26說明了與操作3614相對應的一些附加實施例的剖面視圖2600。圖34A說明了對應於操作3614的一些附加實施例的剖面視圖3400。
在操作3616中,執行化學機械平坦化(CMP)製程以去除阻擋材料和導電材料的部分,從而界定具有內側壁的接合墊,該內側壁形成一個或多個延伸至接合墊的空腔。內側壁與接合墊的頂部相連接。圖17A至17B說明了對應於操作3616的一些實施例。圖27A至27B說明了與第3616號操作相對應的一些附加的實施例。圖34A說明了對應於操作3616的一些附加的實施例的剖面視圖3400。
在操作3618中,包含接合墊的積體晶片晶粒與包含附加接合墊的附加積體晶片晶粒沿混合接合介面接觸。圖18說明了對應於操作3618的一些實施例的剖面視圖1800。圖28說明了對應於操作3618的一些附加實施例的剖面視圖2800。圖35說明了對應於操作3618的一些附加的實施例的剖面視圖3500。
相應地,本揭露涉及一種積體晶片結構,該結構具有接合墊,該接合墊具有一個或多個空腔,該空腔被接合墊包圍並且被填充有介電材料,該介電材料被配置為減輕沿接合墊的上表面的塌陷。
在一些實施例中,本揭露係關於一積體晶片結構。該種積體晶片結構,包含:一個或多個內連線,係設置在一基材上方的一介電結構內;一接合墊,具有沿該介電結構之一頂表面佈置的一頂表面,其中該接合墊的頂表面包含複數個離散的頂表面區段,該複數個頂表面區段係橫向地以一個或多個非零距離彼此隔開,在一剖面視圖中所見,該非零距離在該接合墊的複數個內側壁之間延伸;以及該介電結構係直接設置在該接合墊的複數個內側壁之間。在一些實施例中,該接合墊的一底表面橫向地及連續地延伸經過該接合墊的一個或多個內側壁。在一些實施例中,該接合墊的頂表面與該介電結構的頂表面實 質上共平面。在一些實施例中,該一個或多個內連線包含一設置在該介電結構內的一最頂部內連線,該最頂部內連線具有一上表面,該上表面直接接觸該接合墊的底表面,及該上表面連續延伸經過該接合墊的底表面的最外側壁。在一些實施例中,該一個或多個內連線包含設置在該介電結構內的一最頂部內連線,該接合墊的內側壁之間的該介電結構從該介電結構的頂表面連續地延伸到該最頂部內連線。在一些實施例中,該接合墊包含:一下區段,在耦接至該接合墊之一底部的相對側壁之間橫向地延伸;以及一上區段,具有設置在該下區段的一上表面上之一下表面,該上區段在該接合墊的相對的最外側壁之間橫向地延伸。在一些實施例中,該上區段的下表面物理地接觸該下區段的上表面。在一些實施例中,該接合墊的內側壁係被佈置在該上區段內並被耦接至水平地延伸表面,該水平地延伸表面係直接位於該上區段之下表面上方。在一些實施例中,該接合墊之一俯上視圖中所見,該接合墊在一不間斷的環中連續延伸。
在其他實施例中,本揭露係關於一積體晶片結構。該積體晶片結構包含:一個或多個內連線,係被設置在一基材上一介電結構圍繞;一接合墊,係被該介電結構圍繞,及包含一下區段及一上區段,該下區段在沿該接合墊的一底部佈置的下側壁的外邊緣之間延伸,及該上區段在沿該接合墊的一頂部佈置的上側壁的外邊緣之間延伸;以及該接合墊的上區段包含於該接合墊之一頂表面之邊緣之間以非零距離彼此隔開之一個或多個內側壁,該介電結構係在該接合墊之該頂表面之該邊緣之間。在一些實施例中,該上區段具有一下表面,該下表面連續延伸經過該下區段的相對外邊緣。在一些實施例中,該積體晶片結構另包含:一個或多個附加內連線,係由設置在一第二基材上一附加介電結構所圍繞;一附加接合墊,係由該附加介電結構所圍繞,及包含一個或多個由該附加介電結構隔開的附加內側壁;以及其中該接合墊沿一導電界面接觸該附加接合墊,及該接合墊的頂表面的邊緣之間該介電結構接觸該附加介電結構,該附加介電結構沿一介電界面分離該一個或多個附加內側壁。在一些實施例 中,該上側壁在該下側壁的一頂部下方垂直延伸。在一些實施例中,該上區段包含一阻擋層和一導電芯,該介電結構接觸該阻擋層的側壁。在一些實施例中,在該接合墊之一剖面視圖中所見,該接合墊的頂表面包含複數個離散的頂表面區段,該複數個頂表面區段係以一個或多個非零距離彼此隔開。在一些實施例中,該接合墊的內側壁係更被耦接至該接合墊之一水平地延伸表面,該水平地延伸表面係背向該基材。在一些實施例中,該接合墊包含一外環區段,該外環區段在一不間斷的環路中連續延伸。
在更多其他實施例中,本揭露係關於一形成一積體晶片結構之方法。該積體晶片結構之方法包含:於一第一上介電結構中形成一第一接合墊開口,該第一上介電結構被形成在一下介電結構上方,該下介電結構圍繞一基材上方一個或多個內連線;於該第一接合墊開口中形成一第一阻擋層及一第一導電芯;在該第一上介電結構之上方形成一第二上介電結構;於該第二上介電結構中形成一第二接合墊開口,以暴露該第一導電芯及圍繞該第二上介電結構之一個或多個內側壁;於該第二接合墊開口中形成一阻擋材料及一導電材料;以及移除該阻擋材料及該導電材料之部分,其中移除該阻擋材料及該導電材料之部分形成一具有內側壁之接合墊,該內側壁形成一個或多個延伸入該接合墊內之空腔。在一些實施例中,該一個或多個空腔係以該第二上介電結構填入。在一些實施例中,在沿該接合墊之一剖面視圖中所見,該接合墊具有複數個離散的上表面,該複數個上表面係藉由該第二上介電結構彼此隔開。
上述內容概述了幾個實施例或示範例的特徵,以便本技術領域中具有通常知識者可更好地理解本揭露的各方面。本技術領域中具有通常知識者應認識到,其可很容易地將本揭露做為設計或修改其他製程及結構的基礎,以實現相同的目的及/或實現本文介紹的實施例或示範例的相同優勢。本技術領域中具有通常知識者還應該認識到,這種等效的結構並不偏離本揭露的精神和範 圍,其可在不偏離本揭露的精神和範圍的情況下對本文進行各種改變、替代及改動。
100:積體晶片結構
102:基材
104:介電結構
106:內連線
108:最頂部內連線
108u:上表面
112:接合墊
112s:內側壁
112u:頂表面區段
113:空腔
114:下區段
116:上區段

Claims (10)

  1. 一種積體晶片結構,包含:一個或多個內連線,係設置在一基材上方的一介電結構內;一接合墊,具有沿該介電結構之一頂表面佈置的一頂表面,其中該接合墊的頂表面包含複數個離散的頂表面區段,該複數個離散的頂表面區段係橫向地以一個或多個非零距離彼此隔開,在一剖面視圖中所見,該非零距離在該接合墊的複數個內側壁之間延伸;一個或多個附加內連線,係由設置在一第二基材上一附加介電結構所圍繞;一附加接合墊,係由該附加介電結構所圍繞,及包含一個或多個由該附加介電結構隔開的附加內側壁;以及其中該接合墊接觸該附加接合墊,該介電結構係直接設置在該接合墊的複數個內側壁之間。
  2. 如請求項1的積體晶片結構,其中該一個或多個內連線包含一設置在該介電結構內的一最頂部內連線,該最頂部內連線具有一上表面,該上表面直接接觸該接合墊的底表面,及該上表面連續延伸經過該接合墊的底表面的最外側壁,該一個或多個附加內連線包含一附加的最頂部內連線,該最頂部內連線接觸佈置在該附加介電結構內的該附加接合墊。
  3. 如請求項1的積體晶片結構,其中該一個或多個內連線包含設置在該介電結構內的一最頂部內連線,該接合墊的內側壁之間的該介電結構從該介電結構的頂表面連續地延伸到該最頂部內連線。
  4. 如請求項1的積體晶片結構,其中該接合墊包含:一下區段,在耦接至該接合墊之一底部的相對側壁之間橫向地延伸;以及一上區段,具有設置在該下區段的一上表面上之一下表面,該上區段在該接合墊的相對的最外側壁之間橫向地延伸。
  5. 一種積體晶片結構,包含:一個或多個內連線,係被設置在一基材上一介電結構圍繞;一接合墊,係被該介電結構圍繞,及包含一下區段及一上區段,該下區段在沿該接合墊的一底部佈置的下側壁的外邊緣之間延伸,及該上區段在沿該接合墊的一頂部佈置的上側壁的外邊緣之間延伸;一個或多個附加內連線,係由設置在一第二基材上一附加介電結構所圍繞;一附加接合墊,係由該附加介電結構所圍繞,及包含一個或多個由該附加介電結構隔開的附加內側壁;以及其中該接合墊接觸該附加接合墊,該接合墊的上區段包含於該接合墊之一頂表面之邊緣之間以非零距離彼此隔開之一個或多個內側壁,該介電結構係在該接合墊之該頂表面之該邊緣之間。
  6. 如請求項5的積體晶片結構,其中該接合墊的頂表面的邊緣之間該介電結構接觸該附加介電結構,該附加介電結構沿一介電界面分離該一個或多個附加內側壁。
  7. 如請求項5的積體晶片結構,其中該上區段包含一阻擋層和一導電芯,該介電結構接觸該阻擋層的側壁。
  8. 如請求項5的積體晶片結構,其中在該接合墊之一剖面視圖中所見,該接合墊的頂表面包含複數個離散的頂表面區段,該複數個離散的頂表面區段係以一個或多個非零距離彼此隔開。
  9. 一種形成一積體晶片結構之方法,包含:於一第一上介電結構中形成一第一接合墊開口,該第一上介電結構被形成在一下介電結構上方,該下介電結構圍繞一基材上方一個或多個內連線;於該第一接合墊開口中形成一第一阻擋層及一第一導電芯;在該第一上介電結構之上方形成一第二上介電結構; 於該第二上介電結構中成一第二接合墊開口,以暴露該第一導電芯及圍繞該第二上介電結構之一個或多個內側壁;於該第二接合墊開口中形成一阻擋材料及一導電材料;於該第二上介電結構、該第一上介電結構及該下介電結構中鄰接兩表面之間分別形成一蝕刻停止層;以及移除該阻擋材料及該導電材料之部分,其中移除該阻擋材料及該導電材料之部分形成一具有內側壁之接合墊,該內側壁形成一個或多個延伸入該接合墊內之空腔。
  10. 如請求項9的方法,其中在沿該接合墊之一剖面視圖中所見,該接合墊具有複數個離散的上表面,該複數個離散的上表面係藉由該第二上介電結構彼此隔開。
TW111127147A 2022-01-28 2022-07-20 積體晶片結構及形成積體晶片結構之方法 TWI832343B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263304114P 2022-01-28 2022-01-28
US63/304,114 2022-01-28
US17/750,706 2022-05-23
US17/750,706 US20230245987A1 (en) 2022-01-28 2022-05-23 Slotted bond pad in stacked wafer structure

Publications (2)

Publication Number Publication Date
TW202331975A TW202331975A (zh) 2023-08-01
TWI832343B true TWI832343B (zh) 2024-02-11

Family

ID=86352041

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111127147A TWI832343B (zh) 2022-01-28 2022-07-20 積體晶片結構及形成積體晶片結構之方法

Country Status (5)

Country Link
US (1) US20230245987A1 (zh)
KR (1) KR20230116650A (zh)
CN (1) CN116153891A (zh)
DE (1) DE102022132305A1 (zh)
TW (1) TWI832343B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201017847A (en) * 2008-10-21 2010-05-01 Taiwan Semiconductor Mfg Integrated circuit structure
TW202123413A (zh) * 2019-08-30 2021-06-16 台灣積體電路製造股份有限公司 具有接合結構的裝置及封裝及形成接合結構的方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201017847A (en) * 2008-10-21 2010-05-01 Taiwan Semiconductor Mfg Integrated circuit structure
TW202123413A (zh) * 2019-08-30 2021-06-16 台灣積體電路製造股份有限公司 具有接合結構的裝置及封裝及形成接合結構的方法

Also Published As

Publication number Publication date
US20230245987A1 (en) 2023-08-03
CN116153891A (zh) 2023-05-23
DE102022132305A1 (de) 2023-08-03
TW202331975A (zh) 2023-08-01
KR20230116650A (ko) 2023-08-04

Similar Documents

Publication Publication Date Title
US11410972B2 (en) Hybrid bonding technology for stacking integrated circuits
US11404534B2 (en) Backside capacitor techniques
KR102554692B1 (ko) 집적 구조체 및 형성 방법
US10847412B2 (en) Interconnect structure, interconnect layout structure, and manufacturing method thereof
TWI812168B (zh) 三維元件結構及其形成方法
KR102651281B1 (ko) 집적 칩 구조물 및 그 형성 방법
KR20220010852A (ko) 반도체 장치 및 반도체 장치의 제조 방법
TWI758122B (zh) 半導體結構及其形成方法
TWI806077B (zh) 積體電路晶片、積體電路封裝以及形成接墊結構的方法
TWI832343B (zh) 積體晶片結構及形成積體晶片結構之方法
US11508619B2 (en) Electrical connection structure and method of forming the same
US20230077803A1 (en) Semiconductor devices
US20220344291A1 (en) Bond pad structure coupled to multiple interconnect conductive\ structures through trench in substrate
TWI757946B (zh) 半導體元件及用於製造半導體元件的方法
KR102038090B1 (ko) 반도체 소자
CN113451246B (zh) 集成芯片结构及其形成方法
TW202310277A (zh) 半導體晶粒、半導體結構、及形成半導體結構之方法
TW202247732A (zh) 半導體裝置和其製造方法
TW202131453A (zh) 具有降低電容耦合之氣隙的半導體元件結構
JP2011077556A (ja) 半導体装置