TWI829845B - 自對準區域互連 - Google Patents

自對準區域互連 Download PDF

Info

Publication number
TWI829845B
TWI829845B TW109100613A TW109100613A TWI829845B TW I829845 B TWI829845 B TW I829845B TW 109100613 A TW109100613 A TW 109100613A TW 109100613 A TW109100613 A TW 109100613A TW I829845 B TWI829845 B TW I829845B
Authority
TW
Taiwan
Prior art keywords
integrated circuit
contact
gate
conductive
source
Prior art date
Application number
TW109100613A
Other languages
English (en)
Other versions
TW202044523A (zh
Inventor
艾倫 理萊克
艾倫 曼尼貝克
安 潘
理查 史肯克
史帝芬尼 伯傑斯基
威利 瑞奇曼第
派翠克 摩洛
傑福瑞 畢勒佛
吉伯特 狄威
柳惠宰
納菲斯 卡畢爾
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202044523A publication Critical patent/TW202044523A/zh
Application granted granted Critical
Publication of TWI829845B publication Critical patent/TWI829845B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在一些實施例中,藉由使用成角度的蝕刻以移除材料,以便暴露相鄰導體的一部分來形成半導體裝置結構。接著,在形成接觸或其他導電結構(例如,和互連)期間,移除材料所形成的空間可以被導電材料填充。以此方式,接觸形成部分還填充了空間以形成成角度的局部互連部分,該局部互連部分連接相鄰的結構(例如,源極/汲極接觸到相鄰的源極/汲極接觸,源極/汲極接觸到相鄰的閘極接觸,源極/汲極接觸到相鄰的裝置級導體且連接到閘極/源極/汲極接觸)。在其他實施例中,在本文中被稱為「拼合通孔」的互連結構從導電結構的橫向相鄰的周圍表面建立且電性連接,該等導電結構彼此不同軸或同心地對準。

Description

自對準區域互連
本發明的實施例涉及自對準區域互連。
積體電路通常包含電性連接或配置的電晶體以形成功能電路。儘管實際上存在無限數量的電路配置,但是在一些情況下,給定的電晶體電路可以包含例如,具有其源極和汲極接觸中的一個或兩個連接到同一電晶體的閘極接觸的電晶體。同樣地,電晶體可具有其源極、汲極及/或閘極接觸中之一者連接到相鄰電晶體的源極、汲極及/或閘極接觸。這種連接通常涉及互連的使用(例如,通孔和金屬線)。特別是,通孔可從裝置層內的第一半導體結構(例如,源極接觸、汲極接觸、閘極接觸)向上延伸到裝置層上方的一或多個互連層中,並連接至金屬線。金屬線可以依序連接至向下延伸至裝置層中的第二半導體結構(例如,相鄰半導體裝置的相鄰源極接觸或汲極接觸、同一半導體裝置的閘極區域)的另一通孔。依據通孔和金屬 線的配置,這些類型的連接可以被描述為「上覆(up-and-over)」或「環繞(wrap-around)」互連,並且通常包含與所連接的特徵位於不同層的橫向金屬。
揭露半導體裝置和相應的製造方法。在一些實施例中,藉由使用成角度的蝕刻以移除材料,以便暴露相鄰導體的一部分來形成半導體裝置結構。接著,在形成接觸或其他導電結構(例如,和互連)期間,移除材料所形成的空間可以被一或多種導電材料填充。以此方式,接觸形成部分還填充了空間以形成成角度的局部互連部分,該局部互連部分連接相鄰的結構(例如,源極/汲極接觸到相鄰的源極/汲極接觸,或源極/汲極接觸到相鄰的閘極接觸,或源極/汲極接觸到相鄰的裝置層級導體)。與上覆或環繞互連相比,這些裝置層級互連可以被更便利且更可靠地製造,將裝置層級擴展到裝置層級之上的互連層級。具有成角度部分的橫向局部互連的許多變化將被理解。本文描述的其他實施例還包含在本文中稱為「拼合通孔(jogged via)」的互連結構。拼合通孔從導電結構的橫向相鄰的周圍表面建立電性連接,該等導電結構彼此不同軸、共線或同心地對準。如此可以提高建立互連的可靠性和製造便利性,特別是對於緊密間隔的結構,由於結構的尺寸,這些結構可能也會難以對準。選擇性蝕刻方案允許以自對準方式形成拼合通孔。許多變化將被理解。
許多複雜的問題與使用通孔和金屬線的給定裝置層的相鄰半導體結構的互連有關,這些通孔和金屬線從裝置層延伸到一或多個互連層(例如,與通孔和金屬線相關聯的裝置層上方或下方的層,例如「V0、V1、M0、M1」)並向下(或向上,視情況而定)延伸到裝置層。這種類型的互連通常稱為「上覆(up-and-over)」互連。類似地配置「環繞(wrap-around)」互連還利用其他層在相鄰裝置之間建立連接。這些解決方案容易受到微影限制以及佈局和圖案化錯誤的影響,並且不適合於以小間距/縮放尺寸形成連接。
因此,揭露了用於形成裝置層級或局部導電互連結構的技術。此技術特別適合於互連給定裝置層的源極、汲極及/或閘極接觸結構,無論是相互之間還是橫向相鄰的導體。在一實施例中,互連結構包含成角度的局部互連部分,其在所連接的特徵之間橫向延伸。在一些實施例中,這些技術可以用於在裝置層自身內部形成局部互連。在一些這樣的實施例中,與裝置層級導電結構相關聯的成角度的局部互連部分可以連接例如給定半導體裝置的閘極、源極及/或汲極區域,或可以藉由嵌入隔離壁中的導體直接或間接地連接相鄰裝置(其依序連接到例如源極/汲極/閘極電極或其他接觸結構、或信號源或電源)。在一些實施例中,使用以大於0°且小於90°的入射角提供的定向蝕刻來形成成角度的局部互連部分,以暴露橫向相鄰的裝置層級導電結構的一部分。接著在半導體裝置的元件上 或上方的接觸結構的形成期間可填充橫向鄰近於暴露部分的溝槽,從而在閘極、源極及/或汲極區域與相鄰結構之間形成局部互連部分。由於成形過程的選擇性,成角度的部分的側壁與其所接觸的橫向相鄰特徵的側壁自對準。
在其他實施例中,描述在本文中稱為「拼合通孔」的互連類型。這種類型的互連在彼此橫向相鄰但彼此不同軸、共線或同心對準的導電結構之間建立電性連接。同樣地,由於成形過程的選擇性,拼合通孔的側壁與其所接觸的橫向相鄰特徵的側壁自對準。這比嘗試對準同軸、同心通孔及/或金屬線的平行終端面更為便利。這種類型的配置可以提高以奈米級間距和特徵尺寸建立互連的品質、可靠性和製造便利性。應當理解,各種裝置可以包含局部成角度的互連部分和拼合通孔實施例。
本文提供的技術的各種優點將被理解,特別是關於提高電晶體密度、性能和可靠性。此外,用於製造本文所述的一些實施例的技術可以產生局部互連,其比環繞或上且覆蓋互連需要更少的製程步驟並降低生產成本,除其他好處外。舉例而言,避免或以其他方式減少上覆或環繞連接產生的可能減少裝置層級上方的互連結構的數量,及/或可能減少產生有缺陷的IC的可能性。本文中包含成角度的局部互連部分的一些實施例可允許更短、更直接(橫向連接而不是上覆連接)的局部互連,其可以減小Z維度的厚度、面積覆蓋、電容及/或路由的電阻。還將理解,包含成角度的局部互連部分及/或拼合通孔的一些實 施例提高了IC形成的便利性和準確性,因為這些結構具有「自對準」狀況,這減少了對於通常依賴於來自裝置層級的環繞及/或上覆互連中的精確微影製程的需求。此外,在一些情況下,相對於上覆及環繞技術,使用成角度的局部互連及拼合通孔可以減少互連中的金屬量。這進而可以減少IC的電容。如將進一步理解的,依據一些實施例配置的結構可以相對於其他設計減少互連的複雜度及密度,進而減少對複雜且易出錯的圖案化技術的需求。
藉由本揭露,許多配置和變更將會是顯而易見的。
100:積體電路結構
102:源極/汲極區域
104:第一接觸結構
106:第二接觸結構
108:積體電路結構
110:隔離壁結構
110a:第一絕緣體
110b:第二絕緣體
110c:導體
112a:閘極間隔物
112c:閘極電極
124:絕緣體
200:積體電路結構
201:半導體本體
202:源極和汲極區域
204:第一接觸結構
204a:接觸金屬
204b:金屬連接件
206:第二接觸結構
206a:接觸金屬層
206b:金屬連接件
207:積體電路結構
210:隔離壁結構
211:IC結構
212:閘極結構
212a:閘極間隔物
212b:閘極介電質
212c:閘極電極
212d:閘極接觸金屬
213:IC
222:電路圖
226:閘極結構
226c:閘極電極
226d:閘極接觸金屬層
226e:閘極絕緣體體層
300:IC
306:接觸結構
310:隔離壁結構
326:閘極結構
326a:閘極間隔物
400:IC結構
402:源極/汲極區域
406:接觸結構
410:隔離壁結構
410a:絕緣體
410b:絕緣體
410c:導體
500:IC裝置
502:源極/汲極區域
504a:金屬接觸
506:半導體本體
506a:接觸金屬
506b:金屬連接件
512:閘極結構
512a:閘極間隔物
512b:閘極介電層
512c:閘極電極
512d:閘極接觸金屬
512e:閘極絕緣體
524:絕緣體
600:IC
601:源極區域
602:汲極區域
603a:奈米線
603b:奈米線
603c:奈米線
606:接觸結構
607:絕緣體
612a:閘極間隔物
612b:閘極介電層
612c:閘極電極
620:上部裝置
621:源極區域
622:汲極區域
626:半導體本體
630:下部裝置
632:閘極結構
632a:閘極間隔物
632b:閘極介電層
632c:閘極電極
700:裝置
701:源極/汲極區域
702:裝置
703:隔離壁
705:源極汲極接觸
707:隔離壁
707a:絕緣體層
707b:內部導體
709:拼合通孔結構
711:蝕刻停止層
713:蝕刻停止層
715:絕緣體層
717:導電部分
719:介電材料
721:拼合通孔結構
806:光阻
823:硬遮罩
825:基幹
829:絕緣體層
831:遮罩
900:計算系統
902:主機板
904:處理器
906:通訊晶片
A:角度
W1:厚度
W2:厚度
W3:厚度
W4:厚度
[圖1a]說明依據本揭露的實施例的穿過源極/汲極區域並平行於閘極結構所取得的積體電路結構的截面圖,該積體電路結構包含成角度的局部互連,該局部互連從源極或汲極接觸結構橫向延伸到隔離壁結構中的導體。
[圖1b]說明依據本揭露的另一實施例的穿過源極/汲極區域並平行於閘極結構所取得的積體電路結構的截面圖,該積體電路結構包含成角度的局部互連,該局部互連從源極或汲極接觸結構橫向延伸到隔離壁中的導體。
[圖1c]說明依據本揭露的實施例(例如圖1a、1b所示的實施例)的積體電路的平面截面圖,該積體電路包含成角度的局部互連,該局部互連從源極及/或汲極接觸結構橫 向延伸至隔離壁結構中的導體。
[圖2a]說明依據本揭露的實施例的穿過通道區域並垂直於閘極結構所取得的積體電路結構的截面圖,該積體電路結構包含成角度的局部互連,該局部互連從汲極接觸結構橫向延伸到閘極結構。
[圖2b]說明依據本揭露的實施例(例如圖2a的實施例)的積體電路結構的範例概要電路圖。
[圖2c]說明依據本揭露的另一實施例的穿過通道區域並垂直於閘極結構所取得的積體電路結構的截面圖,該積體電路結構包含成角度的局部互連,該局部互連從源極接觸結構橫向延伸到閘極結構。
[圖2d]說明依據本揭露的另一實施例的穿過通道區域並垂直於閘極結構所取得的截面圖,其包含成角度的局部互連,該局部互連從閘極結構橫向延伸到汲極接觸結構。
[圖2e]說明依據本揭露的另一實施例的穿過通道區域並垂直於閘極結構所取得的積體電路結構的截面圖,該積體電路結構包含內部的、成角度的局部互連,該局部互連從汲極接觸結構橫向延伸到閘極結構。
[圖2f]說明依據本揭露的實施例(例如圖2a-2e所示的實施例)的積體電路的平面截面圖,該積體電路包含成角度的局部互連,該局部互連在閘極結構與源極或汲極接觸結構之間橫向延伸。
[圖3]說明依據本揭露的實施例(例如圖1a-1c及2a-2f所示的實施例)的積體電路的平面截面圖,該積體電路包含 成角度的局部互連,該局部互連在閘極結構與源極/汲極接觸結構之間以及在源極/汲極/閘極結構與隔離壁結構中的導體之間橫向延伸。
[圖4a-4c]說明依據本揭露的實施例的形成成角度的局部互連以將源極/汲極接觸結構與隔離壁結構中的導體連接的範例製程。
[圖5a-5d]說明依據本揭露的另一實施例的形成成角度的局部互連以將閘極接觸結構連接到源極/汲極接觸結構的範例製程。
[圖6]示出依據本揭露的另一實施例的結合成角度的局部互連的堆疊電晶體結構,該成角度的局部互連在閘極結構與源極或汲極接觸結構之間橫向延伸。
[圖7a]說明依據本揭露的實施例的穿過源極/汲極區域並平行於閘極結構所取得的積體電路結構的截面圖,該積體電路結構包含用於將源極/汲極接觸結構連接到相鄰金屬導體的拼合通孔結構。
[圖7b]說明依據本揭露的另一實施例的穿過源極/汲極區域並平行於閘極結構所取得的積體電路結構的截面圖,該積體電路結構包含用於將源極/汲極接觸結構連接到相鄰金屬導體的拼合通孔結構。
[圖8a-8k]說明依據本揭露的實施例的形成用於將源極/汲極接觸結構連接到相鄰金屬導體的拼合通孔結構的範例製程。
[圖9]說明依據本揭露的實施例的包含一或多個積體 電路結構的計算系統,積體電路結構包含如本文中多方描述的成角度的局部互連。
如將被理解的,圖式不一定按照比例繪製或意圖將本揭露限制到所示的特定配置。舉例而言,雖然一些圖式通常表示完美地直線、直角及平滑表面,但是考慮製程設備及所使用的技術之實際上的限制,積體電路結構的實際實現可能具有不太完美的直線及直角,並且一些特徵可能具有表面地形或是為不平滑的。
構造
圖1a說明穿過源極/汲極區域並平行於閘極結構所取得的積體電路(IC)結構100的截面圖。如圖所示,IC結構100包含源極/汲極區域102,第一接觸結構104和第二接觸結構106,第二接觸結構106還包含成角度的局部互連部分。IC結構100還包含隔離壁結構110,在此實施例中,隔離壁結構110還包含第一絕緣體110a、第二絕緣體110b及導體110c。
多種不同的電晶體裝置可以從本文描述的技術中受益,包含但不限於各種場效電晶體(FET),例如金屬氧化物半導體FET(MOSFET)、穿隧FET(TFET)及費米濾波器FET(FFFET)(也稱為穿隧源極MOSFET),僅舉幾個範例。舉例而言,依據一些實施例,此技術可用於使n通道MOSFET(NMOS)裝置受益,該裝置可以包含n-p-n或n-i-n 的源極-通道-汲極方案,其中「n」表示n型摻雜的半導體材料,「p」表示p型摻雜的半導體材料,「i」表示本質/未摻雜的半導體材料(也可包含名義上未摻雜的半導體材料,例如,每立方公分(cm)的摻雜物濃度小於1E16原子)。在另一範例中,依據一些實施例,此技術可用於使p通道MOSFET(PMOS)裝置受益,該裝置可以包含p-n-p或p-i-p的源極-通道-汲極方案。在又一範例中,依據一些實施例,此技術可用於使TFET裝置受益,該裝置可以包含p-i-n或n-i-p的源極-通道-汲極方案。在另一範例中,依據一些實施例,此技術可用於使FFFET裝置受益,該裝置可以包含np-i-p(或np-n-p)或pn-i-n(或pn-p-n)的源極-通道-汲極方案。
此外,在一些實施例中,此技術可用於使包含多個配置的電晶體受益,例如平面及/或非平面配置,提供一些範例,其中非平面配置可包含鰭狀或FinFET配置(例如,雙閘極或三閘極)、環繞式閘極(GAA)配置(例如,奈米線或奈米帶)或上述的一些組合(例如,珠狀鰭(beaded-fin)結構)。本文描述的許多範例都是FinFET,選擇FinFET是為了便於描述。
又,此技術可用於使互補電晶體電路受益,例如互補MOS(CMOS)電路,其中此技術可用於使構成CMOS電路所包含的n通道及/或p通道電晶體中的一或多個受益。依據一些實施例,可受益於本文描述的技術的其他範例電晶體裝置包含一些至單一電子量子電晶體裝置。更 進一步地,舉例而言,任何這樣的裝置都可以採用為三維晶體以及二維晶體或奈米管的半導體材料。在一些實施例中,此技術可用於使改變尺寸的裝置受益,例如具有在微米(micron)範圍內及/或在奈米(nm)範圍內的關鍵尺寸的IC裝置(例如,在22、14、10、7、5或3nm形成製程節點,或更高)。
儘管未顯示,但是將理解,可以在半導體基板上製造IC結構100(以及本揭露中所描述的其他範例實施例結構)。在一些實施例中,半導體基板可以包含塊狀矽基板(例如,塊狀矽晶圓)、絕緣體上矽(SOI)結構,其中絕緣體/介電材料(例如,氧化物材料,如二氧化矽)被夾在兩個矽層之間(例如,在埋入氧化物(BOX)結構中)或頂層包含矽的任何其他合適的起始基板之間。在一些實施例中,基板可以例如以每立公分1E16至1E22原子範圍內的摻雜物濃度摻雜任何合適的n型及/或p型摻雜物。例如,基板的矽可以使用合適的受體(例如硼)進行p型摻雜,或使用合適的施體(例如磷、砷)進行n型摻雜,摻雜濃度為每立方公分至少1E16原子。然而,在一些實施例中,舉例而言,基板可以是未摻雜的/本質的或相對最少摻雜的(例如包含每立方公分小於1E16原子的摻雜物濃度)。通常,儘管本文中的基板被稱為矽基板,但在一些實施例中,基板可以基本上由矽組成,而在其他實施例中,基板可以主要包含矽,但也可以包含其他材料(例如,給定濃度的摻雜物)。還應注意,基板可包含相對高品質或裝置品質的單晶矽, 其提供合適的模板/種表面,可以自其形成其他單晶半導體材料特徵和層。因此,除非另有明確說明,否則本文所述的矽基板不旨在限定僅包含矽的基板。
在一些實施例中,如根據本揭露將顯而易見的,基板可以包含由(100)、(110)或(111)的米勒指數描述的表面晶體取向或其等效物。儘管在此範例實施例中,為了便於說明,基板200顯示為具有與圖中的其他層相似的厚度(Y軸方向上的尺寸),但是在一些實例中,基板可以相對比其他層更厚,例如具有在1至950微米範圍內(或在20至800微米的子範圍內)的厚度,舉例而言,或根據本揭露將顯而易見的任何其他合適的厚度值或範圍。在一些實施例中,基板可以包含多層結構,該多層結構包含二或更多不同的層(在組成上可以相同或可以不相同)。在一些實施例中,基板可包含在基板的至少一部分上漸變(例如,增加及/或減少)一或多種材料濃度。在一些實施例中,基板可用於一或多個其他IC裝置,例如各種二極體(例如發光二極體(LED)或雷射二極體)、各種電晶體(例如,MOSFET或TFET)、各種電容器(例如,MOSCAP)、各種微機電系統(MEMS)、各種奈米機電系統(NEMS)、各種射頻(RF)裝置、各種感測器、或任何其它合適的半導體或IC裝置,取決於最終用途或目標應用。因此,在一些實施例中,根據本揭露將瞭解,本文所述的結構可以被包含在系統上晶片(SoC)應用中。
在一些實施例中,可以使用任何合適的技術 來形成源極/汲極區域102,例如遮蔽要處理的S/D區域之外的區域,蝕刻從基板形成的鰭狀物的至少一部分(即,移除「原生鰭」),以及例如形成/沉積/生長外延S/D區域(例如,使用任何合適的技術,例如CVD、PVD、ALD、VPE、MBE、LPE)。在一些情況下,這些被稱為「替代鰭」。在一些實施例中,舉例而言,由基板的材料形成的原生鰭不需要被完全移除,而是可以(至少部分地)保留在最終的S/D區域中,並且可以被最終的S/D材料摻雜、植入及/或包覆,及/或進行任何其他合適的處理以將其轉換成合適的最終S/D區域。在一些實施例中,舉例而言,一或多個S/D區域可以具有包含二或更多不同層的多層結構。例如,在FFFET配置中,源極區域具有雙層結構,該雙層結構包含例如兩個相反摻雜的層(例如,一個是n型摻雜的,而另一個是p型摻雜的)。在一些實施例中,一或更多S/D區域可包含在區域的一部分或全部中分級(例如,增加及/或減小)一或更多材料的含量/濃度。例如,在一些實施例中,可能期望隨著形成給定的S/D區域而增加等級,以在通道區域附近具有相對較低的摻雜濃度並且在對應的S/D接觸附近具有相對較高的摻雜濃度。
在一些實施例中,可以一次形成一個極性的S/D區域,例如對n型和p型S/D區域之一進行處理,然後對n型和p型S/D區域的另者進行處理。在一些實施例中,根據本揭露將顯而易見的是,S/D區域可包含任何合適的材料,例如單晶IV族及/或III-V族半導體材料及/或任何其他 合適的半導體材料。在一些實施例中,對應於給定通道區域的S/D區域可以包含與給定通道區域中所包含的半導體材料相同的半導體材料組,使得若給定通道區域包含IV族半導體材料組,則對應的S/D區域還可包含IV族半導體材料組(不論是相同的IV材料或不同的IV材料);然而,本揭露不旨在限於此。在一些實施例中,S/D區域可以包含任何合適的摻雜方案,例如包括合適的n型及/或p型摻雜物(例如,濃度在每立方公分1E16至1E22原子範圍內)。然而,在一些實施例中,舉例而言,至少一S/D區域可以是未摻雜的/本質的或相對最少摻雜的,例如包含每立方公分小於1E16原子的摻雜物濃度。
為了提供一些範例配置,在給定通道區域兩側的相應S/D區域用於MOSFET裝置的實施例中,S/D區域可包含相同類型的摻雜物(例如,兩者都是p型摻雜或兩者都是n型摻雜)。具體地,在一些實施例中,對於n-MOS裝置,所包含的S/D區域包含n型摻雜的半導體材料,對於p-MOS裝置,所包含的S/D區域包含p型摻雜的半導體材料。在一些實施例中,對於TFET裝置,給定通道區域的S/D區域可以被相反地摻雜,使得一者被p型摻雜而另一者被n型摻雜。
為了方便描述,閘極結構未在圖1a中顯示。然而,閘極結構出現在下面描述的其他實施例中,並且將理解的是,在此實施例以及本文中描述的一些其他實施例中,存在閘極結構並且可以使用「後閘極」或「前閘極」 技術來製造。不管用於形成閘極結構的技術如何,範例的閘極結構都可以包含閘極介電層(例如,包含一或多個氧化物材料層)以及閘極電極(例如,包含多晶矽材料或其他導電材料)。
在一些實施例中,側壁間隔物,通常被稱為閘極間隔物(或簡稱為間隔物),可以存在於閘極結構的任一側上。舉例而言,這些間隔物可以協助確定通道長度及/或協助替代閘極(「後閘極」)製程。根據本揭露將是顯而易見的,間隔物可包含任何合適的材料,例如任何合適的電性絕緣體、介電質、氧化物(例如,氧化矽)、碳摻雜的氧化物及/或氮化物(例如,氮化矽)材料。需注意,在一些實施例中,舉例而言,可以在虛設閘極堆疊(其可以或可以不形成在間隔物之上)上形成硬遮罩(圖未示),以在隨後的製程期間保護虛設閘極堆疊。
在一些實施例中,閘極結構可包含閘極介電層及/或閘極電極層,舉例而言,每個閘極介電層及/或閘極電極層可包含二或更多材料層的多層結構。例如,在一些實施例中,舉例而言,可以採用多層閘極介電質來提供從通道區域到閘極電極的更漸變的電躍遷。在一些實施例中,閘極介電及/或閘極電極可包含在特徵的至少一部分中分級(例如,增加及/或減小)一或更多材料的含量/濃度。閘極介電質可以是例如任何適當的閘極介電材料,例如二氧化矽或高k閘極介電材料。高k閘極介電材料的範例包含例如氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化 鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鍶鋇、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、鉛鈧鉭氧化物及鉛鋅鈮酸鹽。在一些實施例中,當使用高k材料時,可以對閘極介電質執行退火程序,以便改善其品質。此外,閘極電極可包括多種合適的金屬或金屬合金,舉例而言,例如鋁、鎢、鈦、鉭、銅、氮化鈦或氮化鉭,或根據本揭露思及的其他合適的材料。在一些實施例中,在最終的閘極堆疊中還可以存在一或多層附加層,舉例而言,例如一或多層相對較高或較低的功函數層及/或其他合適的層。可以藉由任何合適的製程來形成閘極結構(包含閘極介電層及閘極電極層),包含但不限於濺射、物理氣相沉積(PVD)、電漿輔助化學氣相沉積、化學氣相沉積(CVD)、原子層沉積(ALD)、MOCVD、MBE等。需注意,儘管僅在閘極電極下方顯示閘極介電質,但是在其他實施例中,舉例而言,閘極介電質也可以存在於閘極電極的一側或兩側,使得閘極介電質位於閘極電極與一或兩個間隔物之間。藉由本揭露,許多不同的閘極堆疊配置將會是顯而易見的。
本文所述的絕緣層可以由也用於層間介電質及/或淺溝槽隔離層的材料形成。此處可以用於各種絕緣層(例如,絕緣體110a、絕緣體110b、絕緣體124,以及在隨後範例中出現的那些,例如隔離壁結構310的元件、絕緣體410a、410b、絕緣體524、絕緣體607等)的範例絕緣材料包含例如氮化物(例如,Si3N4)、氧化物(例如,SiO2、Al2O3)、氮氧化物(例如,SiOxNy)、碳化物(例如, SiC)、碳氧化物、聚合物、矽烷、矽氧烷或其他合適的絕緣材料。在一些實施例中,取決於應用,此範例和隨後描述的範例中的絕緣體層可以用超低k絕緣材料、低k介電材料或高k介電材料來實現。範例低k及超低k介電材料包含多孔二氧化矽、碳摻雜氧化物(CDO)、有機聚合物(例如,全氟環丁烷或聚四氟乙烯)、氟矽酸鹽玻璃(FSG)和有機矽酸酯(例如倍半矽氧烷、矽氧烷或有機矽玻璃)。高k介電材料的範例包含例如氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鍶鋇、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、鉛鈧鉭氧化物及鉛鋅鈮酸鹽。
形成這些各種絕緣層的技術可以是各種合適的沉積技術中的任何一種,包含但不限於:物理氣相沉積(PVD)、化學氣相沉積(CVD)、旋塗/旋轉塗佈沉積(SOD)、原子層沉積(ALD)及/或上述任何的組合。根據本揭露,用於這些層的其他合適的配置、材料、沉積技術及/或厚度將是顯而易見的。
再次參考圖1a,第一接觸結構104及第二接觸結構106在源極/汲極區域102與裝置層級上方的其他互連結構(例如通孔或金屬線)之間建立電性接觸。第一接觸結構104及第二接觸結構106可包含矽化物、鍺化物、III-V族化合物,其中的任何一者都由下面的源極/汲極區域102的部分轉化形成。在一些實施例中,第一接觸結構104和第二接觸結構106可以包含鋁或鎢,但也可以使用任何合 適的導電金屬或合金,例如銀、鎳-鉑或鎳-鋁。在一些實施例中,舉例而言,第一接觸結構104和第二接觸結構106可以包含降低電阻的金屬和接觸塞金屬,或僅包含接觸塞。範例的降低接觸電阻的金屬包含例如鎳、鋁、鈦、金、金鍺、鎳鉑、鎳鋁及/或其他這種降低電阻的金屬或合金。範例接觸塞金屬包含例如鋁、銅、鎳、鉑、鈦或鎢,或其合金,然而,可以使用任何合適的導電接觸金屬或合金。在一些實施例中,若需要,第一接觸結構104和第二接觸結構106中可以存在附加層,例如黏著層(例如,氮化鈦)及/或襯裡或障壁層(例如,氮化鉭)。在一些實施例中,接觸電阻減小層可以存在於給定的S/D區域102和其對應的第一接觸結構104或第二接觸結構106之間,例如相對高摻雜(例如,摻雜物濃度大於1E18、1E19、1E20、1E21或1E22原子/立方公分)的中間半導體材料層。在一些這樣的實施例中,舉例而言,基於對應的S/D區域102的包含的材料及/或摻雜物濃度,接觸電阻減小層可以包含半導體材料及/或雜質摻雜物。可以使用濺射、物理氣相沉積(PVD)、電漿輔助化學氣相沉積、化學氣相沉積(CVD)、原子層沉積(ALD)、MOCVD、MBE等中的任何一種來形成接觸結構(以及用於建立電性接觸的其他金屬層)的組成部分。
在一些實施例中,如圖1a所示,源極/汲極區域102被隔離壁結構110分開。如上所述,隔離壁結構110可以進一步包含第一絕緣體110a、第二絕緣體110b和 導體110c。在一些範例實施例中,用於形成隔離壁結構110的第一絕緣體110a和第二絕緣體110b的材料可以包含氧氮化物或碳摻雜的氧氮化物、氧化矽或其他合適的材料。在一些範例中,用於形成隔離壁結構110的第一絕緣體110a和第二絕緣體110b的材料可以包含用於形成層間介電層(圖未示)或淺溝槽隔離層(圖未示)的任何材料。應當理解,絕緣壁結構110可以不同的配置存在於單一晶粒上,其中一些絕緣壁結構110包含如上所述的導體110c,而其他絕緣壁結構包含絕緣體而不是導體。
在一些範例中,第一絕緣體110a和第二絕緣體110b可以是組成上一致的並且共形地塗覆導體110c的單一結構。在一些範例中,第一絕緣體110a可以具有在組成上彼此不同及/或可能具有不同厚度及/或分別形成的頂部(例如,相鄰於接觸結構104/106)或側面部分(例如,第二絕緣體110b)。在一範例中,第一絕緣體110a或第二絕緣體110b的頂部之一可以包含高k介電材料(例如,氧化鉿)或具有過渡層的高k材料(例如,氧化鉿和二氧化矽),或在執行成角度的蝕刻時提供期望的蝕刻選擇性的其他合適的材料,藉由本揭露將是顯而易見的。儘管本文描述的許多範例集中於包含第一絕緣體110a和第二絕緣體110b的不同層的結構,但是應當理解,此處的技術和描述同樣適用於組成上一致的單個第一絕緣體110a。
與第一接觸結構104不同,第二接觸結構106包含將相應的源極/汲極區域102連接到相鄰導體110c的成 角度的局部互連部分(在圖中由虛線橢圓指示)。應當理解,導體110c處於裝置層級(例如,與源極/汲極區域102處於同一層級)並且不在裝置層級之上(例如,在源極/汲極區域102之上的層級也包含通孔及/或金屬線)。如此一來,第二接觸結構106用作「局部互連」具有以上指出的優點和益處。
藉由在形成接觸結構106之前首先將隔離壁結構110(和任何周圍的層)暴露於定向蝕刻來形成第二接觸結構106的成角度的局部互連部分。在源自(或靠近)源極/汲極區域102並且延伸到隔離壁結構110的區域中,平行於閘極(圖未示)執行該定向蝕刻。在一些情況下,該「向下」蝕刻也可以被指向為具有對角分量,從而形成第一絕緣體110a和第二絕緣體110b的對角前緣(在圖1a的截面輪廓中示出)。定向蝕刻暴露導體110c的部分,從而賦能在裝置層級的導體110c和第二接觸結構106之間的局部。
在使用對角或成角度的蝕刻的範例中,用於執行此對角或成角度的定向蝕刻的技術包含但不限於選擇性化學蝕刻、離子銑削或植入濺射或乾性/電漿蝕刻。在一範例實施例中,將對光刻遮罩進行圖案化,以暴露出隔離壁結構(或其他結構)的待蝕刻部分。在一些範例中,可以將濺射植入束光柵化在基板的整個表面上,或可以將定向施加的蝕刻劑施加在基板的整個表面上,以便蝕刻或濺射未被圖案化遮罩覆蓋的結構的部分。在其他範例中,將 蝕刻劑均勻且同時地施加到基板的整個表面上,以蝕刻未被圖案化遮罩覆蓋的結構的部分。離子源產生一離子束,該離子束在指向以下任何一個範圍內的入射角度A,並根據圖中所示的方案進行測量:從15°到75°、從25°到65°、從30°到60°、從40°到50°。應當理解,以類似的方式測量的相似的入射角可以應用於以下任何範例。磁場可用於聚焦作為此製程的一部分而產生的任何帶電粒子及/或電荷載體。束電流和電壓可以被獨立地控制以獲得期望的離子能量(以電子伏特表示)及/或束電流密度(以Amperes/cm2表示)。考量製造中使用的材料,可以採用任何合適的離子能量和束電流密度。舉例而言,根據一些具體實施例,在濺射束中,可以採用e15/cm2至e17/cm2的劑量,以移除由遮罩暴露的第一絕緣體110a及/或第二絕緣體110b的上述部分。如上所述,還可以使用其他技術來移除材料,以便形成成角度的局部互連部分。舉例而言,加速的離子可以轟擊被對應地圖案化的光刻遮罩暴露的第一絕緣體110a的表面,並且藉由這種轟擊移除第一絕緣體110a的原子及/或分子,直到導體110c被暴露。在這些範例技術中的任何一者中,可以藉由加速電壓和用於形成離子(也以電流測量)的氣體的流速來精確控制材料移除的速率和程度。這些因子還控制了電離粒子的入射時刻,從而控制了被移除物質的選擇性。在一些實施例中,成角度的蝕刻製程還可以移除導體區域110c的一部分。
各種其他技術也可以與上述削減技術組合使 用,以控制在移除第一絕緣體110a及/或第二絕緣體110b的一部分期間在離子銑削、濺射、乾蝕刻(或其他技術)期間形成的角度。舉例而言,可以將要蝕刻的積體電路結構安裝在旋轉台組件上,以實現旋轉軸控制,該旋轉軸控制可以部分地用於控制離子束的入射角。可選擇地,可以採用一或多個可鉸接的反射鏡以實現蝕刻劑(例如,離子束)的期望入射角。
雖然圖1a(及其他圖)中所示的成角度傾斜部分的角度是45°,但應當理解,形成的角度可以是從10°至80°或從15°至75°的任何合適的角度。所選角度的值可以受到因子的影響,這些因子包含但不限於,用於暴露導體110c的期望區域的角度,其足以提供期望的電特性(例如,電阻、信號完整性)。成角度的側壁可以在垂直和水平方向上都具有在任何以下範圍內的尺寸:從0.5nm至1nm、從0.75nm到1.5nm、從1nm到3nm、大於2nm。
一旦導體110c被暴露,就可以使用上述任何技術和材料來執行沉積用於形成接觸結構106的材料。接觸結構106的材料沉積在先前被藉由定向蝕刻移除的第一絕緣體110a和第二絕緣體110b中的一或多個佔據的區域中。這形成了圖1a中所示的局部互連部分,並且在接觸結構106與導體110c之間建立局部電性接觸。
圖1b說明穿過源極/汲極區域並平行於閘極結構所取得的積體電路(IC)結構108的截面圖。以上已經在IC結構100的說明文中描述了IC結構108中存在的許多元 件,並且不需要進一步解釋。
部分地,提供圖1b以說明成角度的局部互連部分可以相對於周圍結構(例如,相對於第一接觸結構104、第二接觸結構106和隔離壁結構110)處於任何取向。如所示,圖1b所示的第二接觸結構106的一側與圖1a所示的一側相反。此外,IC結構108包含第二接觸結構106,其相對於圖1a所示的範例第二接觸更薄(例如,從源極/汲極區域102的緊鄰表面測量)。此較薄的第二接觸結構106可在其上容納一層,例如圖1b所示的第三絕緣體124。
圖1c說明從圖1a中指示的透視的範例IC 100的平面截面圖。如上所述,圖1c說明第二接觸106與導體110c之間的連接,以提供從源極/汲極區域到相鄰的導電結構的局部互連,而沒有延伸到裝置層級之上。可以看出,接觸結構106的頂部延伸超過閘極間隔物112a和閘極電極112c的外部周圍,並且延伸超過隔離壁結構110的外部周圍。參照圖1a、1b將理解,第二接觸結構106向隔離壁結構110的橫向延伸導致第二接觸結構106的成角度的局部互連部分與隔離壁結構110內的導體110c之間的接觸(如上所述)。
圖2a說明穿過通道並垂直於閘極所取得的積體電路(IC)結構200的截面圖。範例IC結構200包括半導體本體(例如,鰭、奈米線、奈米帶)201、源極和汲極區域202、第一接觸結構204、第二接觸結構206以及包含閘極間隔物212a、閘極介電質212b、閘極電極212c和閘極接觸 金屬212d的閘極結構212。上面已經描述了用於形成IC結構200的這些各種元件的組成和技術。
提供範例IC結構200以說明成角度的局部互連部分可以與不同的導電結構相關聯並且在裝置層級連接半導體裝置的多種組件。範例IC結構200包含成角度的局部互連部分作為第二接觸結構206的組件,第二接觸結構206在此範例中提供汲極區域202和閘極接觸金屬212d之間的局部連接。與前述範例一樣,成角度的局部互連部分在裝置層而不是裝置層上方連接IC結構200的這些元件。
上述範例包含隔離壁結構110中的源極/汲極區域接觸結構106和導體110c之間的成角度的局部互連部分,與上述範例不同,所示的範例IC結構200在接觸金屬層206a之上具有金屬連接件206b,其藉由成角度的局部互連部分連接汲極區域202和閘極接觸金屬212d。換言之,在形成金屬連接件206b之前,藉由移除閘極間隔物212a和閘極介電質212b的一部分以暴露出閘極接觸金屬212d來形成金屬連接件206b的成角度的局部互連部分。在此配置中,成角度的局部互連部分越過在施加成角度的蝕刻之後剩餘的閘極間隔物212a和閘極介電質212b的部分。
圖2b是依據本揭露的實施例的範例IC結構的電路圖222。如所示,依據本揭露的實施例,使用金屬連接件206b的內部成角度的局部互連將電晶體裝置之一的汲極區域202連接到閘極結構212。
圖2c說明穿過通道並垂直於閘極所取得的積 體電路(IC)結構207的截面圖。範例IC結構207包含半導體本體(例如,鰭、奈米線、奈米帶)201、源極和汲極區域202,第一接觸結構204、第二接觸結構206以及包含閘極間隔物212a、閘極介電質212b、閘極電極212c和閘極接觸金屬212d的閘極結構212。上面已經描述了用於形成IC結構207的這些各種元件的組成和技術。
如同圖2b,圖2c提供以說明當使用成角度的局部互連部分在裝置層級在相鄰結構之間建立連接時,能夠進行的配置的多樣性。在範例IC結構207中,局部成角度的互連部分與在與源極區域202相關聯的接觸金屬206a之上的金屬連接件層206b相關聯(並且在某些情況下,與之成一體)。此局部成角度的互連部分與半導體本體201之上的閘極接觸金屬212d建立接觸。
圖2d還提供以說明本揭露的各種實施例中可能的配置的多樣性。在範例IC結構211中,局部成角度的互連部分與在閘極電極226c之上的閘極接觸金屬層226d相關聯(並且在某些情況下,與之成一體)。該局部成角度的互連部分同時與在汲極區域202上方的接觸金屬204a和金屬連接件204b建立接觸。此外,類似於圖1b中所示的配置,閘極接觸金屬226d設置在閘極絕緣體體層226e與閘極電極層226c之間。
圖2e是本揭露的各種實施例中可能的配置變化的另一實施例圖示。如所示,成角度的局部互連部分與第二接觸結構206(更具體地是接觸金屬206a)相關聯,並且 在圖式的參考框中向左延伸以接觸閘極電極212c。以此方式,成角度的局部互連部分在閘極隔離物212a和閘極介電層212b剩餘部分之上延伸。
圖2f說明從圖2e中指示的透視的範例IC 213的平面截面圖。圖2f說明第二接觸結構206與閘極結構212、226c之間的連接,以提供從汲極區域(及/或可選的源極區域)到相鄰電晶體的局部互連,而沒有延伸到裝置層級之上,如上所述。可以看出,接觸結構206的頂部延伸超過汲極區域202(圖2e所示)的外部周圍的距離d(也在圖2e中指示),以在閘極間隔物212a和閘極介電質212b之上延伸。將理解,此配置導致第二接觸結構206的成角度的局部互連部分與先前藉由蝕刻暴露的閘極電極212c之間的接觸(如上所述)。在此視圖中還顯示閘極結構226包含連接到相鄰接觸結構204的成角度的局部互連部分。在此視圖中還顯示隔離壁結構210。
圖3說明範例IC 300的平面截面圖,IC 300包含多個成角度的互連部分,互連部分在範例IC 300內的不同類型的導電結構之間建立連接。在此範例中,建立的裝置層級互連在源極/汲極與閘極之間以及源極/汲極/閘極與隔離壁內的導體之間。如所示,閘極結構326(可以包含閘極間隔物326a)包含兩個成角度的局部互連部分-一個對應於閘極結構326本身,並在隔離壁結構310中建立與導體310的連接,另一個對應於接觸結構306和,在閘極結構326與源極/汲極區域306之間建立接觸。
方法
圖4a-5d說明根據本揭露的一些實施例的逐步製造的各種範例結構。首先參照圖4a和4b,IC結構400類似於圖1a和圖1b所示的IC結構。在此製造的階段,IC結構400包含源極/汲極區域402和隔離壁結構410,其包含絕緣體層410a、410b和導體410c。這些元件在以上其他圖式的說明文中已經描述了。
如此圖所示,並且如以上所描述,IC結構400被暴露於某種形式的成角度的定向蝕刻製程,無論是濺射、離子銑削、電漿/乾蝕刻或是濕式化學蝕刻。在所示的範例中,成角度的定向蝕刻製程是與濺射、離子銑削或電漿/乾蝕刻中的任何一種相關聯的光束的成角度的蝕刻製程。此定向蝕刻移除源極/汲極區域402的部分以及隔離壁結構410的側壁的部分。
圖4b說明移除隔離壁結構410的部分並且更具體地移除絕緣體410a和絕緣體410b的部分以暴露導體410c的對應部分。如上所述,角度A(在圖4b中示出)可以在以下任何一個範圍內:從30°到60°、從30°到50°、從40°到50°、從45°到60°。在圖4b中也指示,絕緣體層410b的厚度(厚度W1)和410c(厚度W2),以及絕緣體410a的側壁厚度約為各個層410b和410c的厚度(W3)。厚度W1可以在以下任一範圍內:從0.5nm至1nm、從0.75nm至1.5nm、從1nm至3nm、大於2nm。厚度W2可以在以下任一範圍內:從0.5nm 至1nm、從0.75nm至1.5nm、從1nm至3nm、大於2nm。厚度W3可以在以下任一範圍內:從1.5m至3nm、從0.75nm至5nm、從1nm至5nm、大於3nm。
圖4c說明在形成接觸結構406之後的範例IC結構400。如上所述,接觸結構406的形成同時形成了接觸結構406的成角度的局部互連部分,其使導體410c與接觸結構406電性接觸,並且因此電性接觸源極/汲極區域402。
圖5a和5b說明與圖4a中所描繪的類似的情況,主要區別是範例IC裝置500內的不同結構暴露於蝕刻劑。參照圖5a,範例IC裝置500包含在半導體本體506之間的源極/汲極區域502。在源極/汲極區域502之上的是金屬接觸504a。在半導體本體506之上的是對應的閘極結構512,後者包含閘極電極512c、閘極接觸金屬512d和閘極絕緣體512e。
類似於圖4a和圖4b的描述,將閘極結構512的側壁,更具體地包含閘極間隔物512a的部分和閘極介電層512b的側壁暴露於定向蝕刻。圖5b說明移除閘極結構512的部分並且更具體地移除閘極間隔物512a和閘極介電質512b的部分以暴露閘極接觸金屬512d和閘極絕緣體512e的部分。與前述範例中的任何範例一樣,遮罩用於保護不打算被蝕刻的結構。為了清楚描述,圖中省略了遮罩,但是應當理解,除了要被蝕刻的那些之外,任何保護性遮罩都將覆蓋所描繪的結構。可以入射角度A提供蝕刻束(如圖 5b所示),且角度A可以在以下任何一個範圍內:從30°到60°、從30°到50°、從40°到50°、從45°到60°。在圖5b中也指示,閘極介電512b的厚度(厚度W1)、閘極間隔物512a的厚度(厚度W3)以及這兩者的側壁厚度(厚度W4)。在一些情況下,在蝕刻之後可能存在閘極結構512的側壁絕緣層的殘留部分。該「殘留部分」(或簡稱為「殘留」)具有由W3表示的厚度。應當理解,在一些範例中,由於自然的製程變異、處理條件的偏差(例如,所移除的材料的成分變異、遮罩誤差、蝕刻劑功率的波動)或先前被蝕刻的材料的重新形成,殘留部分可能存在。不管殘留部分由什麼機制形成,其形成都意味著在形成成角度的局部互連之後,絕緣體的部分都在成角度的局部互連部分的上方和下方。
厚度W1可以在以下任一範圍內:從0.5nm至1nm、從0.75nm至1.5nm、從1nm至3nm、大於2nm。厚度W2可以在以下任一範圍內:從0.5nm至1nm、從0.75nm至1.5nm、從1nm至3nm、大於2nm。厚度W3可以在以下任一範圍內:從0.5nm至1nm、從0.75nm至1.5nm、從1nm至3nm、大於2nm。厚度W4可以在以下任一範圍內:從1.5nm至3nm、從0.75nm至5nm、從1nm至5nm、大於3nm。
圖5c說明具有成角度的局部互連部分的金屬連接件506b的沉積,該局部互連部分在接觸金屬506a和閘極金屬接觸512d之間建立接觸。在此範例示例中,成角度的局部互連部分還可以在閘極接觸金屬512d之上與閘極絕緣體512e進行(直接或間接)物理接觸,以及與閘極間隔物 512a的頂表面和藉由蝕刻劑被暴露的閘極介電層512b進行(直接或間接)物理接觸。還需注意,上述殘留在此處理階段仍然存在。
圖5d說明範例IC結構500,在金屬連接件506b之上或上形成有絕緣體層524。如所示,絕緣體層524形成與金屬連接件506b之上的空間相符的形狀,該空間包含與從閘極絕緣體512e突出的殘留部分一致的形狀。
圖6是在另一實施例中,其可能包含上述成角度的局部互連部分。範例IC 600是堆疊式積體電路,其中上部裝置620堆疊在下部裝置630之上,並且絕緣體區域607設置在其間。範例IC 600還說明可以從含有成角度的局部互連部分中受益的不同的裝置類型。具體地,下部裝置630被配置為「環繞式閘極」或GAA裝置(例如,具有為奈米帶或奈米線並且被閘極電極結構圍繞的半導體本體)。儘管此配置不同於先前描述的範例,但除了半導體裝置的其他類型和配置之外,GAA裝置還可以包含如本文所述的成角度的局部互連部分。
下部裝置630包括源極區域601、汲極區域602、接觸結構606、奈米線603a、603b及603c、以及閘極結構612,閘極結構612進一步包含閘極間隔物612a、閘極介電層612b及閘極電極612c。在絕緣體區域607的相對側上(其可以由上述用作絕緣體層的任何材料製造),上部裝置620包含源極區域621、汲極區域622、設置在其間的半導體本體626、源極/汲極接觸結構626以及閘極結構632, 閘極結構632還包括閘極間隔物632a、閘極介電層632b以及閘極電極632c。
可以看出,接觸結構606和下部裝置包含成角度的局部互連部分,該局部互連部分在接觸結構606與和奈米線603a相關聯的閘極電極612C之間建立接觸。
類似地,上部裝置620包含成角度的局部互連部分,該局部互連部分在接觸結構626與閘極電極之間建立接觸。
拼合通孔(Jogged Via)
用於積體電路內互連的另一種技術和構造是「拼合通孔」,圖7a和7b說明拼合通孔的範例。將理解,包含拼合通孔的實施例可以用於在積體電路內建立電性連接,在其中更傳統的互連設置可能是有挑戰性的。舉例而言,如上所述,在一些情況下,互連結構對準(例如,在堆疊的通孔之間、在堆疊的通孔與金屬線之間)可能在僅僅幾奈米內以高度的精密度建立電性連接。使用如圖7a和7b中所示的拼合通孔在不一定是同軸或同心的結構的面對的周圍表面上建立電性連接。拼合通孔結構與周圍表面近似正交(例如,以從45°至90°的角度)的終端表面可以與源極/汲極接觸或其他導電結構接觸或以其他方式連接。在一些範例中,這些結構可以是「自對準」的(降低使用別的方法成功圖案化通孔所需的精密度)。如此減少了由於未對準而造成的不良電性連接(以及因電遷移導致的長期 可靠性不佳)的風險。
將理解的是,在圖7a和7b中顯示的範例(將結合圖7a和7b的說明更詳細地描述)為已經從裝置的「背面」進行處理。即,在基板上生長或形成源極/汲極區域(以及其他結構),然後將其移除。應當理解,以下描述的架構和方法可以適用於「正面」處理。
首先參照圖7a,示出了範例裝置700。此範例裝置包含隔離壁703,在隔離壁707中其包含在絕緣體層707a內的內部導體707b,在隔離壁703與隔離壁707之間的源極/汲極區域701,在源極/汲極區域701上的源極汲極接觸705,蝕刻停止層(ESL)711、713,絕緣體層715和導電部分717,並且散置有介電材料719。這些特徵在先前的說明中已經有許多描述,以上描述同樣適用於範例裝置700。此外,以下面在圖8a-8k的說明中給出對許多這些元件的附加描述,參照圖8a-8k說明範例製造方法。
從圖7a中可以看出,拼合通孔結構709的周圍表面與金屬結構717的面對的周圍表面相鄰並且電性接觸。這種「並排」配置可以提高在IC稠密的區域中,小型互連特徵(例如,直徑或屬性特徵尺寸小於10nm)、緊密間隔的特徵(例如,中心距為10nm或更小)及/或對準結構之間建立電性連接的能力,上述這些都可能很難進行光刻圖案化。
圖7b說明包含拼合通孔的半導體裝置702的替代實施例。裝置702包含在隔離壁結構707內的導體707b 與拼合通孔結構721之間的電性連接。如在圖7a中的範例所示,在相鄰的周圍表面之間形成電性連接,而不是同軸或同心對準的結構。
圖8a-8k說明範例裝置700的製造方法的各個階段。首先參照圖8a,在基板(圖未示)上形成外延源極/汲極701。外延源極/汲極701的形成可以包含上述的任何技術(例如,外延生長「置換」源極/汲極層、蝕刻/摻雜以產生「原生」源極/汲極)。外延源極汲極701設置在第一隔離壁703與第二隔離壁結構707之間,第二隔離壁結構707形成為在絕緣體層707a內包含金屬層707b。可以使用上述的微影圖案化技術和沉積技術來形成這些結構。如上所述,在外延源極/汲極701之上形成源極/汲極接觸705。同樣如上所述,源極/汲極接觸705可以由導電金屬、鍺化物、矽化物及其組合製成,並且可以包含一或多層。接著在源極/汲極接觸705上形成蝕刻停止層711。蝕刻停止層711可以由氮化物、碳化物或其他抵抗削減製造過程(例如,濕蝕刻或乾蝕刻)的材料形成。
圖8b說明在圖8a所示的構造之上的幾個附加層的形成。這些附加層包含在暴露的蝕刻停止層711之上以及在隔離壁703和隔離壁707的暴露表面(包含絕緣體層707a及導體707b的暴露表面)之上形成另一蝕刻停止層713。接著可以在蝕刻停止層713上形成絕緣體層715。絕緣體層715可以由氮化物、矽化物或氧化物以及本文所述的其他絕緣體層形成。在絕緣體715上通知「硬遮罩」 823。此硬遮罩823(通常由氧化物或氮化物組成形成)在例如乾蝕刻的削減製造過程中為下面的層(在此情況下例如為絕緣體層715)提供附加的保護。
圖8c說明在硬遮罩上形成圖案化的「基幹」825。此基幹825可以藉由在硬遮罩823上沉積一層非晶矽(例如,透過氣相沉積、濺射、電子束或其他沉積技術)並圖案化該層以產生如圖所示的基幹825的結構來形成。可以理解,基幹825是相對於相鄰材料針對其蝕刻選擇性而選擇的材料,其可用於增強具有期望節距的結構的製造。
圖8d示出在基幹825之上施加光阻806層。可以理解,光阻806可以包括光敏材料層,在一些範例中,光敏材料層在暴露於選定的輻射波長時聚合或解聚。光阻是否組成為對暴露於輻射反應而聚合或解聚取決於該光阻是否旨在形成穿過介於中間的光刻遮罩(或「標線」)暴露於輻射而形成的圖案的「負」圖像或「正」圖像。在此情況下,與對應的標線配對時,兩種類型的組成均適用。
如圖8e所示,在此情況下,對光阻806進行圖案化,以便暴露硬遮罩823的部分以及基幹825的一個組件的部分。硬遮罩823、絕緣體715、蝕刻停止層713及蝕刻停止層711全部被部分蝕刻(硬遮罩823防止或最小化這些層的剩餘部分的移除),從而暴露源極汲極接觸705的部分。此配置如圖8f所示。將理解的是,在一些情況下,可以使用定向蝕刻(例如,包括離子或其他加速粒子聚焦束的「乾」蝕刻)來促進僅移除這些層中與圖8e所示的光阻 806中的間隙對準的部分。需注意,所使用的蝕刻對基幹是選擇性的,因此優先移除光阻806,先於基幹結構825中的材料。
如圖8g所示,形成一或多層材料與源極/汲極接觸705電性接觸,從而形成拼合通孔結構709的第一部分。在一些範例中,可以在圖8f的暴露表面上形成材料的覆蓋層,接著對其進行選擇性蝕刻以產生圖8g所示的拼合通孔結構709。可以理解,拼合通孔結構709的形成具有「自對準」情況,因為圍繞的層自然地形成用於沉積材料的容器。拼合通孔結構709的組成可以包含上述用於接觸層的任何材料和層(例如,諸如氮化物、碳化物及碳氮化物的導電障壁層,諸如鈦、鋁、銅的導電金屬,以及諸如氮化鉭和氮化鈦的導電金屬間物)。可以使用包含濺射、化學氣相沉積等在內的上述任何技術來形成拼合通孔結構709。
接著參照圖8h,使用上面描述的用於形成絕緣體層的任何材料和技術,移除光阻806層的剩餘部分,並且在拼合通孔結構709之上形成絕緣體層829(例如,氧化鋁)。可以選擇對非晶矽具有蝕刻選擇性的絕緣體層829。如圖8i所示,可以使用對多晶矽(或用於形成基幹825的材料)具有選擇性的蝕刻來移除基幹825的剩餘部分,從而暴露絕緣體715的對應部分。此外,移除硬遮罩823的一部分以暴露絕緣體715的部分,絕緣體715與拼合通孔結構709接觸。在移除絕緣體715中的任何一個的情況 下,在暴露拼合通孔結構709之前停止移除。
圖8j顯示光刻遮罩831的形成,光刻遮罩覆蓋絕緣體829的暴露部分、絕緣體715的一些部分以及層823的暴露的周圍表面。關於光刻遮罩的以上描述,遮罩831可以是例如可以藉由優先移除遮罩材料的蝕刻組成移除的光敏材料或「硬遮罩」材料。
如圖8k所示,絕緣體715的暴露部分可以被移除以暴露拼合通孔結構709。接著可以移除遮罩831。然後可以在移除這些層時在空著的區域中形成導電材料層717,如圖8j的說明中所描述的那樣。如此便完成拼合通孔結構709的形成,其中,拼合通孔709的周圍表面與金屬層717的周圍表面(直接或間接)接觸,在此位置可視為互連,無論是通孔或金屬線。需注意,如上所述,這些結構不是同軸、共線或同心對準的。與半導體裝置(例如,「後段製程」互連層、堆疊的半導體裝置)相關聯的附加層可以形成在圖8k所示的裝置的一側或兩側上(例如,在源極/汲極701及/或金屬層717的一或兩個暴露表面上)。可選地,可以藉由蝕刻選擇性地移除絕緣體829,並用介電材料(例如,低k介電質)取代。
儘管未示出,但是應當理解,與拼合通孔結構709接觸的金屬層717的互連部分可以連接至其他互連結構。此外,可以理解,拼合通孔結構709和與其接觸的金屬層717可以用作向具有源極/汲極701作為組件的半導體裝置供電的導管。在其他範例中,這些結構可以用作信號 的路徑。儘管未顯示,但是應當理解,拼合通孔結構不限於所示的範例,而是可以適用於建立電性連接至「後段製程」互連(例如,金屬線及通孔)、閘極電極結構及IC裝置中的其他導電結構。
範例系統
圖9是依據本揭露的一些實施例的利用本文所揭露的一或多個積體電路結構實現的範例計算系統。如可以看到的,計算系統900容置主機板902。主機板902可以包含多個組件,包含但不限於處理器904和至少一通訊晶片906,其中的每一個可以物理和電耦接到主機板902,或以其他方式集成在其中。應當理解,主機板902可以是例如任何印刷電路板,無論是主板、安裝在主板上的子板、或是系統900的唯一的板等。
根據其應用,計算系統900可包含一或多個其他組件,其可為或可不為物理或電耦接至主機板902。這些其他組件可包含,但不限於,揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視訊編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速器、陀螺儀、揚聲器、相機以及大量儲存裝置(例如硬碟機、光碟(CD)、數位光碟(DVD)等)。計算系統900中包含的任何組件可以包含依據範例實施例配置的一 或多個積體電路結構或裝置(例如,如本文中以各種方式提供的,包含成角度的局部互連部分及/或拼合通孔)。在一些實施例中,可以將多個功能整合到一或多個晶片中(例如,需注意通訊晶片906可以是處理器904的一部分或是被整合到處理器904中)。
通訊晶片906使得用於至計算系統900或來自計算系統900之資料傳送之無線通訊能夠實現。用詞「無線」及其衍生物可用於描述電路、裝置、系統、方法、技術、通訊通道等,其可藉由使用穿過非固體介質的調變電磁輻射來傳遞資料。此用詞並不意味著關聯的裝置不包含任何電線,儘管在某些實施例中可能沒有。通訊晶片906可實現任何數目的無線標準或協定,包含但不限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(long term evolution;LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、及其衍生物,以及任何其他指定用作3G、4G、5G及在此之後之技術的無線協定。計算系統900可包含複數個通訊晶片906。舉例而言,第一通訊晶片906可專用於較短範圍的無線通訊,例如Wi-Fi和藍牙,而第二通訊晶片906可專用於較長範圍的無線通訊,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。在一些實施例中,通訊晶片906可包含一或多個電晶體結構,具有如本文中各種描述的閘極堆疊和存取區域極化層。
計算系統900的處理器904包含封裝在處理器904內的積體電路晶粒。在一些實施例中,處理器的積體電路晶粒包含使用如本文中各種描述的一或多個積體電路結構或裝置來實現的板載電路。用詞「處理器」可以指處理來自暫存器及/或記憶體的電子資料以便例如將該電子資料轉變成其他可儲存在暫存器及/或記憶體中的電子資料的任何裝置或裝置的部分。
通訊晶片906還可以包含封裝在通訊晶片906內的積體電路晶粒。依據一些這樣的範例實施例,通訊晶片的積體電路晶粒包含如本文中各種描述的一或多個積體電路結構或裝置。需注意,根據本揭露,應當注意,多標準無線能力可以被直接整合到處理器904中(例如,其中任何晶片906的功能被整合到處理器904中,而不是具有分離的通訊晶片)。還需注意,處理器904可以是具有這種無線能力的晶片組。簡而言之,可以使用任何數量的處理器904及/或通訊晶片906。同樣地,任何一個晶片或晶片組可以具有整合在其中的多個功能。
在不同的實施方式中,計算系統900可以是膝上型電腦、連網小筆電、筆記型電腦、智慧型手機、平板電腦、個人數位助理(PDA)、超行動個人電腦、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機頂盒、娛樂控制單元、數位相機、可攜式音樂播放器、數位錄影機、或任何其他處理資料或採用使用本揭露技術而形成的一或多個積體電路結構或裝置,如本文中的各種描 述。
更多的範例實施例
以下範例涉及另外的實施例,從其中可以看出許多排列及配置。
範例1是一種積體電路結構,包括:源極區域和汲極區域;源極區域上方的第一導電結構和汲極區域上方的第二導電結構,第一導電結構和第二導電結構包括第一導電材料;第三導電結構,包括第二導電材料;以及介電結構,包括橫向在第三導電結構與第一導電結構或第二導電結構中之一者之間的介電材料,其中,第一導電結構或第二導電結構中之該一者的部分橫向延伸穿過介電結構並且接觸第三導電結構的側壁,該部分為1nm或更長,且具有相對於垂直面測得的在15°與75°之間的角度的表面。
範例2包含範例1之標的,其中第一導電結構、第二導電結構及第三導電結構在積體電路結構的裝置層內。
範例3包含範例1或2之標的,其中該部分在介電結構的第一部分上方。
範例4包含前述範例中任一者之標的,其中第一導電結構或第二導電結構中之該一者是包括金屬的插塞。
範例5包含前述範例中任一者之標的,其中 第一導電結構或第二導電結構中之該一者包括第一部分和第二部分,第一部分包括第一金屬,且第二部分包括不同於第一金屬的第二金屬。
範例6包含前述範例中任一者之標的,其中第三導電結構是至少部分在隔離壁結構內的導體。
範例7包含範例1至5中任一者之標的,其中第三導電結構是閘極電極。
範例8包含範例1至5中任一者之標的,其中第三導電結構是閘極電極上方的閘極接觸。
範例9包含前述範例中任一者之標的,其中該部分具有1nm至10nm的長度。
範例10包含前述範例中任一者之標的,其中介電結構包括閘極介電層和閘極間隔物中的一者或兩者。
範例11包含範例1至9中任一者之標的,其中介電結構包括至少一層隔離壁結構。
範例12包含前述範例中任一者之標的,更包括在源極區域與汲極區域之間的半導體本體,半導體本體在第三導電結構的至少一部分下方。
範例13包含前述範例中任一者之標的,其中半導體本體是鰭狀物。
範例14包含範例1至12中任一者之標的,其中半導體本體是一或多個奈米線及/或奈米帶。
範例15包含前述範例中任一者之標的,其中第一導電材料和第二導電材料是相同的材料。
範例16是包括前述範例中任一者的積體電路結構的積體電路裝置。
範例17是包括前述範例中任一者的積體電路結構的印刷電路板。
範例18是包括前述範例中任一者的積體電路結構的電子系統。
範例19是一種積體電路結構,包括:源極或汲極區域;閘極結構,其包括閘極介電質、閘極間隔物和一或多種金屬;以及在源極或汲極區域上方的接觸結構,其中,閘極結構的部分橫向延伸穿過閘極介電質和閘極間隔物中的一者或兩者,並且接觸接觸結構的側壁,該部分的長度為1nm或更長,並且具有相對於垂直面測量介於15°和75°之間的角度的表面。
範例20包含範例19之標的,其中接觸結構及閘極結構在積體電路結構的裝置層內。
範例21包含範例19或20之標的,其中,該部分在包含閘極介電質和閘極隔離物之一或兩者的第一部分之上,以及在包含閘極介電質和閘極隔離物之一或兩者的第二部分之下。
範例22包含範例19至21中任一者之標的,其中接觸結構是包括金屬的插塞。
範例23包含範例19至22中任一者之標的,其中接觸結構包括第一部分和第二部分,第一部分包括第一金屬,且第二部分包括不同於第一金屬的第二金屬。
範例24包含範例19至23中任一者之標的,其中閘極結構的橫向延伸的部分是閘極電極。
範例25包含範例19至23中任一者之標的,其中閘極結構的橫向延伸的部分是閘極電極上方的閘極接觸。
範例26包含範例19至25中任一者之標的,其中該部分具有1nm至10nm的長度。
範例27包含範例19至26中任一者之標的,其中閘極結構的橫向延伸的部分穿過閘極介電質和閘極間隔物。
範例28包含範例19至27中任一者之標的,更包括相鄰源極或汲極區域的半導體本體,半導體本體在閘極結構的至少一部分下方。
範例29包含範例19至28中任一者之標的,其中半導體本體是鰭狀物。
範例30包含範例19至28中任一者之標的,其中半導體本體是一或多個奈米線及/或奈米帶。
範例31包含範例19至30中任一者之標的,其中接觸結構與閘極結構的橫向延伸的部分是相同材料。
範例32是包括範例19至31中任一者的積體電路結構的積體電路裝置。
範例33是包括範例19至32中任一者的積體電路結構的印刷電路板。
範例34是包括範例19至33中任一者的積體電 路結構的電子系統。
範例35是一種積體電路裝置結構,包括:源極區域和汲極區域;源極區域上方的第一接觸結構和汲極區域上方的第二接觸結構;拼合通孔結構,具有與第一接觸結構或第二接觸結構中之一者接觸的第一表面,拼合通孔具有相對於第一表面成45°至90°的角度的第二表面;以及導體,具有與拼合通孔結構的第二表面接觸的周圍表面。
範例36包含範例35之標的,其中導體與拼合通孔結構沒有同心對準。
範例37包含範例35或36之標的,更包括連接到導體的電源電路。
範例38包含範例35或36之標的,更包括連接到導體的信號電路。
範例39包含範例35至38中任一者之標的,其中拼合通孔結構的第一表面是拼合通孔結構的頂表面或底表面,且拼合通孔結構的第二表面是拼合通孔結構的側壁表面。
範例40包含範例35至39中任一者之標的,其中拼合通孔結構在裝置層的背面上,裝置層包含源極區域和汲極區域,積體電路裝置結構還包含在裝置層之上的一或多個互連層。
範例41是一種積體電路裝置,包括:閘極結構;具有與閘極結構接觸的第一表面的拼合通孔結構;拼 合通孔具有相對於第一表面成45°至90°的角度的第二表面;以及導體,具有與拼合通孔結構的第二表面接觸的周圍表面。
範例42包含範例41之標的,其中導體與拼合通孔結構沒有同心對準。
範例43包含範例41或42之標的,更包括連接到導體的電源電路。
範例44包含範例41或42之標的,更包括連接到導體的信號電路。
範例45包含範例41至44中任一者之標的,其中拼合通孔結構的第一表面是拼合通孔結構的頂表面或底表面,且拼合通孔結構的第二表面是拼合通孔結構的側壁表面。
範例46包含範例41至45中任一者之標的,其中拼合通孔結構在裝置層的背面上,裝置層包含源極區域和汲極區域,積體電路結構還包含在裝置層之上的一或多個互連層。
範例47是一種製造積體電路結構的方法,該方法包括:形成源極區域和汲極區域;形成在橫向上相鄰源極區域或汲極區域的導電結構;形成在導電結構與源極區域和汲極區域之一者之間橫向的介電結構;將介電結構的部分暴露在源極區或汲極區域之一者的上方;移除至少一些暴露的介電結構,該移除暴露出導電結構的側壁部分;在源極區域或汲極區域之該一者之上沉積導電材料, 導電材料將導電結構的暴露側壁部分連接到源極區域或汲極區域之該一者。
範例48包含範例47之標的,其中移除至少一些暴露的介電結構包括將至少一些暴露的介電結構暴露於高能粒子束。
範例49包含範例48之標的,其中,相對於垂直面以15°到75°之間的角度提供高能粒子束。
範例50包含範例48之標的,其中束直徑小於介電結構的暴露部分的高度,該移除因而留下殘留部分。
範例51是一種製造積體電路結構的方法,該方法包括:形成源極區域和汲極區域;形成在源極區域或汲極區域上方的接觸結構;形成在橫向上相鄰源極區域和汲極區域的介電結構;將介電結構的一部分暴露在通道區域上方,通道區域位於源極區域與汲極區域之間;移除至少一些暴露的介電結構,該移除暴露出接觸結構的側壁部分;在通道區域之上沉積導電材料,導電材料連接接觸結構的暴露的側壁部分。
範例52包含範例51之標的,其中移除至少一些暴露的介電結構包括將至少一些暴露的介電結構暴露於高能粒子束。
範例53包含範例52之標的,其中,相對於垂直面以15°到75°之間的角度提供高能粒子束。
範例54包含範例52之標的,其中束直徑小於介電結構的暴露部分的高度,該移除因而留下殘留部分。
範例55是一種用於製造積體電路結構的方法,該方法包括:形成第一導電結構;形成具有第一表面和第二表面的拼合通孔結構,第一表面在第一導電結構的至少一部分上,第一表面和第二表面彼此成45°到90°的角度;以及形成與拼合通孔結構的第二表面電性接觸的第二導電結構。
範例56包含範例55之標的,其中拼合通孔結構與第二導電結構不共線或同心對準。
範例57包含範例55或56之標的,其中第一導電結構是源極或汲極中之一者。
範例58包含範例55或56之標的,其中第一導電結構是閘極電極結構。
範例59包含範例55至58中任一者之標的,其中第二導電結構是金屬線或導體之一者。
範例60包含範例55至59中任一者之標的,還包括在第一導電結構與拼合通孔結構之間的接觸。
100:積體電路結構
102:源極/汲極區域
104:第一接觸結構
106:第二接觸結構
110:隔離壁結構
110a:第一絕緣體
110b:第二絕緣體
110c:導體
A:角度

Claims (24)

  1. 一種積體電路結構,包括:源極區域和汲極區域;該源極區域上方的第一導電結構和該汲極區域上方的第二導電結構,該第一導電結構和該第二導電結構包括第一導電材料;第三導電結構,包括第二導電材料;以及介電結構,包括橫向在該第三導電結構與該第一導電結構或該第二導電結構中之一者之間的介電材料,其中,該第一導電結構或該第二導電結構中之該一者的部分橫向延伸穿過該介電結構並且接觸該第三導電結構的側壁,該部分為1nm或更長,且具有相對於垂直面測得的在15°與75°之間的角度的表面。
  2. 如請求項1之積體電路結構,其中該第一導電結構、該第二導電結構及該第三導電結構在該積體電路結構的裝置層內。
  3. 如請求項1之積體電路結構,其中該第一導電結構或該第二導電結構中之該一者是包括金屬的插塞。
  4. 如請求項1之積體電路結構,其中該第一導電結構或該第二導電結構中之該一者包括第一部分和第二部分,該第一部分包括第一金屬,且該第二部分包括不同於該第一金屬的第二金屬。
  5. 如請求項1之積體電路結構,其中該第三 導電結構是至少部分在隔離壁結構內的導體。
  6. 如請求項1之積體電路結構,其中該第三導電結構是閘極電極。
  7. 如請求項1之積體電路結構,其中該第三導電結構是閘極電極上方的閘極接觸。
  8. 如請求項1之積體電路結構,其中該部分具有1nm至10nm的長度。
  9. 如請求項1至8中任一項之積體電路結構,其中該介電結構包括閘極介電層和閘極間隔物中的一者或兩者。
  10. 如請求項1至8中任一項之積體電路結構,其中該介電結構包括至少一層隔離壁結構。
  11. 如請求項1至8中任一項之積體電路結構,更包括在該源極區域與該汲極區域之間的半導體本體,該半導體本體在該第三導電結構的至少一部分下方。
  12. 如請求項11之積體電路結構,其中該半導體本體是鰭狀物。
  13. 如請求項11之積體電路結構,其中該半導體本體是一或多個奈米線及/或奈米帶。
  14. 如請求項1至8中任一項之積體電路結構,其中該第一導電材料和該第二導電材料是相同的材料。
  15. 一種積體電路裝置結構,包括:源極區域和汲極區域; 該源極區域上方的第一接觸結構和該汲極區域上方的第二接觸結構;拼合通孔結構,具有與該第一接觸結構或該第二接觸結構中之一者接觸的第一表面,該拼合通孔具有相對於該第一表面成45°至90°的角度的第二表面;以及導體,具有與該拼合通孔結構的該第二表面接觸的周圍表面。
  16. 如請求項15之積體電路裝置結構,其中該導體與該拼合通孔結構沒有同心對準。
  17. 如請求項15之積體電路裝置結構,更包括連接到該導體的電源電路。
  18. 如請求項15之積體電路裝置結構,其中該拼合通孔結構的該第一表面是該拼合通孔結構的頂表面或底表面,且該拼合通孔結構的該第二表面是該拼合通孔結構的側壁表面。
  19. 如請求項15至18中任一項之積體電路裝置結構,其中該拼合通孔結構在裝置層的背面上,該裝置層包含該源極區域和該汲極區域,該積體電路裝置結構還包含在該裝置層之上的一或多個互連層。
  20. 一種積體電路裝置結構,包括:閘極結構;拼合通孔結構,具有與該閘極結構接觸的第一表面,該拼合通孔具有相對於該第一表面成45°至90°的角度的第二表面;以及 導體,具有與該拼合通孔結構的該第二表面接觸的周圍表面。
  21. 如請求項20之積體電路裝置結構,其中該導體與該拼合通孔結構沒有同心對準。
  22. 如請求項20之積體電路裝置結構,更包括連接到該導體的電源電路。
  23. 如請求項20之積體電路裝置結構,其中該拼合通孔結構的該第一表面是該拼合通孔結構的頂表面或底表面,且該拼合通孔結構的該第二表面是該拼合通孔結構的側壁表面。
  24. 如請求項20至23中任一項之積體電路裝置結構,其中該拼合通孔結構在裝置層的背面上,該裝置層包含源極區域和汲極區域,該積體電路結構還包含在該裝置層之上的一或多個互連層。
TW109100613A 2019-02-13 2020-01-08 自對準區域互連 TWI829845B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/274,758 2019-02-13
US16/274,758 US11424160B2 (en) 2019-02-13 2019-02-13 Self-aligned local interconnects

Publications (2)

Publication Number Publication Date
TW202044523A TW202044523A (zh) 2020-12-01
TWI829845B true TWI829845B (zh) 2024-01-21

Family

ID=71739491

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109100613A TWI829845B (zh) 2019-02-13 2020-01-08 自對準區域互連

Country Status (4)

Country Link
US (1) US11424160B2 (zh)
CN (1) CN111564428A (zh)
DE (1) DE102020102814A1 (zh)
TW (1) TWI829845B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11233005B1 (en) * 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof
US11901434B2 (en) * 2021-04-30 2024-02-13 Qualcomm Incorporated Semiconductor having a source/drain contact with a single inner spacer

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200412667A (en) * 2003-01-15 2004-07-16 Powerchip Semiconductor Corp Flash memory device structure and manufacturing method thereof
US20040197994A1 (en) * 2003-04-03 2004-10-07 Chih-Wei Hung [flash memory device structure and manufacturing method thereof]
TW200531287A (en) * 2004-02-10 2005-09-16 Mitsubishi Electric Corp Semiconductor device
US20120061766A1 (en) * 2010-09-09 2012-03-15 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
TW201721808A (zh) * 2015-09-25 2017-06-16 英特爾股份有限公司 在短通道互補金屬氧化物半導體(cmos)晶片上的用於低洩漏的應用的長通道金屬氧化物半導體(mos)電晶體
TW201724590A (zh) * 2015-09-25 2017-07-01 英特爾股份有限公司 具有自對準通孔的高密度記憶體陣列
US20170222044A1 (en) * 2016-01-28 2017-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US20180151718A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Device and Method of Forming
TW201834197A (zh) * 2016-12-09 2018-09-16 日商半導體能源硏究所股份有限公司 半導體裝置以及半導體裝置的製造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056293B2 (en) 2014-07-18 2018-08-21 International Business Machines Corporation Techniques for creating a local interconnect using a SOI wafer
KR102285271B1 (ko) * 2017-04-03 2021-08-03 삼성전자주식회사 반도체 장치
US10510600B1 (en) * 2018-07-11 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Shared contact structure and methods for forming the same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200412667A (en) * 2003-01-15 2004-07-16 Powerchip Semiconductor Corp Flash memory device structure and manufacturing method thereof
US20040197994A1 (en) * 2003-04-03 2004-10-07 Chih-Wei Hung [flash memory device structure and manufacturing method thereof]
TW200531287A (en) * 2004-02-10 2005-09-16 Mitsubishi Electric Corp Semiconductor device
US20120061766A1 (en) * 2010-09-09 2012-03-15 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
TW201721808A (zh) * 2015-09-25 2017-06-16 英特爾股份有限公司 在短通道互補金屬氧化物半導體(cmos)晶片上的用於低洩漏的應用的長通道金屬氧化物半導體(mos)電晶體
TW201724590A (zh) * 2015-09-25 2017-07-01 英特爾股份有限公司 具有自對準通孔的高密度記憶體陣列
US20170222044A1 (en) * 2016-01-28 2017-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US20180151718A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Device and Method of Forming
TW201834197A (zh) * 2016-12-09 2018-09-16 日商半導體能源硏究所股份有限公司 半導體裝置以及半導體裝置的製造方法

Also Published As

Publication number Publication date
TW202044523A (zh) 2020-12-01
US20200258778A1 (en) 2020-08-13
DE102020102814A1 (de) 2020-08-13
US11424160B2 (en) 2022-08-23
CN111564428A (zh) 2020-08-21

Similar Documents

Publication Publication Date Title
TWI811361B (zh) 具有閘極全環裝置之自對準閘極端蓋(sage)架構
TWI733669B (zh) 半導體結構的金屬特徵的由下而上填塞(buf)
US11276691B2 (en) Gate-all-around integrated circuit structures having self-aligned source or drain undercut for varied widths
TW202101733A (zh) 具有閘極或接點插塞之自對準閘極端蓋(sage)架構
TWI829845B (zh) 自對準區域互連
US20200066712A1 (en) Metal resistor and self-aligned gate edge (sage) architecture having a metal resistor
JP7072064B2 (ja) デバイス製造のための遷移金属酸化物膜の選択エッチングおよび制御された原子層エッチング
US11869889B2 (en) Self-aligned gate endcap (SAGE) architectures without fin end gap
US20220102521A1 (en) Low resistance approaches for fabricating contacts and the resulting structures
US11749733B2 (en) FIN shaping using templates and integrated circuit structures resulting therefrom
TWI833887B (zh) 具有閘極接點之自對準閘極端蓋(sage)架構
TW202145564A (zh) 具有高磷摻雜物濃度的源極和汲極
KR20230042627A (ko) 유전체 게이트 벽 및 유전체 게이트 플러그를 갖는 집적 회로 구조
US10784378B2 (en) Ultra-scaled fin pitch having dual gate dielectrics
JP2022151587A (ja) キャップが低減された自己整合ゲートエンドキャップ(sage)アーキテクチャ
TW202213625A (zh) 用於製造先進積體電路結構之閘極與鰭片微調隔離
US11063151B2 (en) Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures
US20220093588A1 (en) Adjacent gate-all-around integrated circuit structures having non-merged epitaxial source or drain regions
US20240243202A1 (en) Self-aligned gate endcap (sage) architectures with vertical sidewalls
US20220093590A1 (en) Selective growth self-aligned gate endcap (sage) architectures without fin end gap
TW202301479A (zh) 穿過金屬閘極切口的鰭對鰭溝槽接點
KR20230094963A (ko) 중앙 부분이 재성장된 소스 또는 드레인 구조를 갖는 게이트 올 어라운드 집적 회로 구조
KR20230088242A (ko) 향상된 집적 회로 구조체의 점퍼 게이트