TWI825103B - 被處理體之處理方法及電漿處理裝置 - Google Patents

被處理體之處理方法及電漿處理裝置 Download PDF

Info

Publication number
TWI825103B
TWI825103B TW108119108A TW108119108A TWI825103B TW I825103 B TWI825103 B TW I825103B TW 108119108 A TW108119108 A TW 108119108A TW 108119108 A TW108119108 A TW 108119108A TW I825103 B TWI825103 B TW I825103B
Authority
TW
Taiwan
Prior art keywords
film layer
processed
layer
processing
precursor
Prior art date
Application number
TW108119108A
Other languages
English (en)
Other versions
TW202012689A (zh
Inventor
田端雅弘
久松亨
戶村幕樹
熊倉翔
笹川大成
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202012689A publication Critical patent/TW202012689A/zh
Application granted granted Critical
Publication of TWI825103B publication Critical patent/TWI825103B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明之目的在於提供一種令選擇性處理的控制性提高的技術。為了達成上述目的,在本發明一實施態樣中提供了一種在處理容器內實行的被處理體的處理方法。該處理方法,包含:第1步驟,其於配置在處理容器內的被處理體的表面藉由電漿氣相沉積選擇性地形成第1膜層;以及第2步驟,其於並未存在第1膜層的區域藉由原子層沉積形成第2膜層。第2步驟,重複實行序列以形成第2膜層;該序列包含:第3步驟,其將前驅物氣體供給到處理容器內以於被處理體的表面形成前驅物層;第4步驟,其在第3步驟之後對處理容器內進行吹淨(purge);第5步驟,其在第4步驟之後於處理容器內令前驅物曝露在改質電漿中以將前驅物層轉換成第2膜層;以及第6步驟,其在第5步驟之後對處理容器內的空間進行吹淨。該處理方法,可由電漿處理裝置實行之。

Description

被處理體之處理方法及電漿處理裝置
本發明之實施態樣係關於一種處理方法以及電漿處理裝置。
因為裝置尺寸的縮小,對於原子層沉積(Atomic Layer Deposition,ALD)等的原子標度處理的要求標準隨之提高。專利文獻1,揭示了用電漿改質與原子層沉積於圖案的底部選擇性地形成膜層的技術。 [先前技術文獻] [專利文獻]
[專利文獻1] 美國專利申請案公開號第2017/0140983號說明書
[發明所欲解決的問題]
本發明提供一種令選擇性處理的控制性提高的技術。 [解決問題的手段]
在一例示的實施態樣中,提供了一種被處理體的處理方法。該處理方法,包含:第1步驟,其於配置在處理容器內的被處理體的表面藉由電漿化學氣相沉積選擇性地形成第1膜層;以及第2步驟,其於並未存在第1膜層的區域藉由原子層沉積形成第2膜層。
在一例示的實施態樣中,提供了一種被處理體的處理方法。該處理方法,包含一步驟,其將被處理體提供到處理容器內;第1步驟,其於被處理體的表面藉由電漿化學氣相沉積選擇性地形成第1膜層;以及第2步驟,其於並未存在第1膜層的被處理體的表面藉由原子層沉積形成第2膜層。第2步驟,將前驅物氣體供給到處理容器內以於被處理體的並未存在第1膜層的區域形成前驅物層,對處理容器內進行吹淨(purge),並在處理容器內令前驅物曝露在改質電漿中以將前驅物層轉換成第2膜層。改質電漿,令第1膜層的膜厚減少。
在一例示的實施態樣中,提供了一種電漿處理裝置。該電漿處理裝置,包含:處理容器,其收納被處理體;以及控制部,其控制在處理容器內對被處理體的處理;控制部,包含重複實行序列的序列實行部;該序列包含:第1處理,其於配置在處理容器內的被處理體的表面用電漿化學氣相沉積選擇性地形成第1膜層;以及第2處理,其於表面之中的並未存在第1膜層的區域藉由原子層沉積形成第2膜層。 [發明的功效]
如以上所說明的,可提高選擇性處理的控制性。
以下,參照圖式並針對各種實施態樣詳細進行說明。另外,在各圖式中對相同或相當的部分會附上相同的符號。
圖1,係表示對一實施態樣的被處理體(以下有時會稱為晶圓W)進行處理的方法的流程圖。方法MT,係處理方法的一實施態樣。方法MT,由電漿處理裝置實行之。
圖2,係表示方法MT所使用的一實施態樣的電漿處理裝置的一例。圖2,係以概略方式表示在方法MT的各種實施態樣中可使用的電漿處理裝置10的剖面構造。電漿處理裝置10,具備設有平行平板型電極的處理容器12。處理容器12,收納晶圓W。處理容器12,具有大略圓筒形狀,並區隔出處理空間Sp。處理容器12,例如,係由鋁所構成,於其內壁面實施了陽極氧化處理。處理容器12為了保持安全而接地。
在處理容器12的底部上,設置了大略圓筒狀的支持部14。支持部14,例如,係由絕緣材料所構成。支持部14,從處理容器12的底部往垂直方向延伸。另外,設置了被支持部14所支持的載置台PD。
載置台PD,在其頂面保持晶圓W。載置台PD,具有下部電極LE以及靜電夾頭ESC。下部電極LE,包含第1平板18a以及第2平板18b。第1平板18a以及第2平板18b,係由鋁等的金屬所構成,呈大略圓盤形狀。第2平板18b,設置在第1平板18a上,與第1平板18a電連接。
在第2平板18b上,設置了靜電夾頭ESC。靜電夾頭ESC,具有將導電膜(亦即電極)配置在一對絕緣層之間或一對絕緣片之間的構造。靜電夾頭ESC的電極與直流電源22透過開關23電連接。靜電夾頭ESC,藉由來自直流電源22的直流電壓所產生的庫侖力等的靜電力吸附晶圓W。
在第2平板18b的周緣部位上,以包圍晶圓W的邊緣以及靜電夾頭ESC的方式配置了聚焦環FR。聚焦環FR,係為了令蝕刻的均一性提高而設置者。聚焦環FR,係由對應蝕刻對象膜的材料而選出的材料所構成,例如,可由石英所構成。
在第2平板18b的內部,設置了冷媒流通管路24。冷媒流通管路24,係調溫機構的一部分。從設置在處理容器12的外部的冷卻單元(圖式省略)經由配管26a供給冷媒到冷媒流通管路24。供給到冷媒流通管路24的冷媒,透過配管26b回到冷卻單元。像這樣,冷媒以循環的方式供給到冷媒流通管路24。藉由控制該冷媒的溫度,便可控制晶圓W的溫度。
另外,於電漿處理裝置10,設置了氣體供給管線28。氣體供給管線28,將來自導熱氣體供給機構的導熱氣體,例如He氣,供給到靜電夾頭ESC的頂面與晶圓W的背面之間。
另外,於電漿處理裝置10,設置了加熱器等的溫度調節部HT。溫度調節部HT,埋入第2平板18b內。溫度調節部HT與加熱器電源HP連接。從加熱器電源HP對溫度調節部HT供給電力,以調整靜電夾頭ESC的溫度,進而調整晶圓W的溫度。另外,溫度調節部HT亦可內建於靜電夾頭ESC。
另外,電漿處理裝置10,具備上部電極30。上部電極30,在載置台PD的上方,配置成與載置台PD互相對向。下部電極LE與上部電極30,設置成大略互相平行。在上部電極30與下部電極LE之間,提供了對晶圓W進行電漿處理用的處理空間Sp。
上部電極30,在處理容器12的上部被絕緣性遮蔽構件32所支持。上部電極30,可包含電極板34以及電極支持體36。電極板34面向處理空間Sp,並設置了複數個氣體吐出孔34a。電極板34,在一實施態樣中,含有矽。
電極板34以隨意裝卸的方式被電極支持體36所支持。電極支持體36,例如係由鋁等的導電性材料所構成。電極支持體36,可具有冷卻構造。在電極支持體36的內部,設置了氣體擴散室36a。從該氣體擴散室36a,與氣體吐出孔34a連通的複數個氣體通流孔36b往處理空間Sp延伸。另外,於電極支持體36形成了將處理氣體引導至氣體擴散室36a的氣體導入口36c,該氣體導入口36c與氣體供給管38連接。
電漿處理裝置10,具備第1高頻電源62以及第2高頻電源64。第1高頻電源62,係產生電漿生成用的第1高頻電力的電源,其產生27[MHz]~100[MHz]的頻率(在一例中為60[MHz])的高頻電力。第1高頻電源62,透過匹配器66與上部電極30連接。匹配器66,係用來令第1高頻電源62的輸出阻抗與負載側(下部電極LE側)的輸入阻抗匹配的電路。另外,第1高頻電源62,亦可透過匹配器66與下部電極LE連接。
第2高頻電源64,係產生用以將離子吸引到晶圓W的第2高頻電力的電源,其產生在400[kHz]~40.68[MHz]的範圍內的頻率,在一例中係產生13.56[MHz]的頻率的高頻偏壓電力。第2高頻電源64,透過匹配器68與下部電極LE連接。匹配器68,係用來令第2高頻電源64的輸出阻抗與負載側(下部電極LE側)的輸入阻抗匹配的電路。
另外,電漿處理裝置10,亦可更具備電源70。電源70,與上部電極30連接。電源70,對上部電極30施加用來將處理空間Sp內所存在的正離子吸引到電極板34的電壓。在一例中,電源70,產生負的直流電壓。當該等電壓從電源70施加到上部電極30時,存在於處理空間Sp的正離子,便被吸引到電極板34。被吸引的離子衝撞電極板34,藉此,從電極板34釋放出二次電子及/或矽。
在支持部14與處理容器12的側壁之間設置了排氣平板48。排氣平板48,例如,可藉由對鋁材被覆Y2 O3 等的陶瓷所構成。在排氣平板48的下方設置了排氣口12e。排氣口12e,透過排氣管52與排氣裝置50連接,將處理空間Sp減壓。於處理容器12的側壁設置了晶圓W的搬入搬出口12g,搬入搬出口12g由閘閥54所開閉。
氣體源群40,具有複數個氣體源。閥門群42包含複數個閥門。流量控制器群45包含質量流量控制器等的複數個流量控制器。
電漿處理裝置10,亦可具備「令供給如氨基矽烷類氣體等的反應性高的氣體的配管與供給其他氣體的配管互相獨立並供給到處理空間Sp,而在處理空間Sp混合」的後混合構造。該後混合構造,具備氣體供給管38、氣體供給管82。氣體供給管38與氣體供給管82,均透過閥門群42以及流量控制器群45與氣體源群40連接。藉由電漿處理裝置10的後混合構造,在從氣體源群40到閥門群42之間,與氣體供給管38連接的氣體管線以及與氣體供給管82連接的氣體管線便互相獨立。此時,流過氣體供給管38的氣體與流過氣體供給管82的氣體不會混合,直到各氣體供給到處理容器12內為止。
於電極支持體36,設置了氣體導入口36c。氣體導入口36c,設置在載置台PD的上方。氣體導入口36c,與氣體供給管38的第1端連接。氣體供給管38的第2端,與閥門群42連接。透過氣體導入口36c,將氣體導入形成在電極支持體36中的氣體擴散室36a。
於處理容器12的側壁,設置了氣體導入口52a。氣體導入口52a,與氣體供給管82的第1端連接。氣體供給管82的第2端,與閥門群42連接。
於電漿處理裝置10,沿著處理容器12的內壁以隨意裝卸的方式設置了沉積物防護部46。沉積物防護部46,亦設置在支持部14的外周圍。沉積物防護部46,避免沉積物附著於處理容器12。其係藉由對鋁被覆Y2 O3 等的陶瓷所構成。
另外,電漿處理裝置10,可具備控制部Cnt。控制部Cnt,控制在處理容器12內對晶圓W的處理。控制部Cnt,係具備處理器、記憶部、輸入裝置、顯示裝置等的電腦,其控制電漿處理裝置10的各部位。控制部Cnt,與閥門群42、流量控制器群45、排氣裝置50、第1高頻電源62、匹配器66、第2高頻電源64、匹配器68、電源70以及加熱器電源HP等連接。控制部Cnt,亦可更與控制來自冷卻單元的冷媒流量以及冷媒溫度等的構件連接。
控制部Cnt,具備序列實行部CS。序列實行部CS,根據所輸入的配方,由程式運作,送出控制信號。用來自控制部Cnt的控制信號,便可控制從氣體源群40所供給的氣體的選擇以及氣體的流量、排氣裝置50的排氣、來自第1高頻電源62以及第2高頻電源64的電力供給、來自電源70的電壓施加。控制部Cnt,更可控制加熱器電源HP的電力供給、來自冷卻單元的冷媒流量以及冷媒溫度等。另外,在本說明書中所揭示的晶圓W的處理方法的各步驟,可藉由「以控制部Cnt的序列實行部CS的控制,令電漿處理裝置10的各部位動作」而實行之。序列實行部CS,藉由令電漿處理裝置10的各部動作,而實行圖1的方法MT所示的處理。
參照圖1,針對方法MT進行說明。以下,係針對在方法MT的實施中使用電漿處理裝置10的例子進行說明。在以下的說明中,參照圖4、圖5、圖6。圖4係表示方法MT的各步驟實行後的被處理體的狀態的圖式。方法MT包含步驟ST1(第1步驟、第1處理)、步驟ST5(第2步驟、第2處理)以及步驟ST4。圖4以及圖5,對應在步驟ST1中並未實行步驟ST1a(清潔處理)的態樣;圖6,對應在步驟ST1中實行了步驟ST1a的態樣。
圖5、圖6各自所示的橫軸係表示從方法MT開始算起的時間。圖5、圖6各自所示的縱軸,係表示第1膜層M1的膜厚以及第2膜層M2的膜厚。圖5、圖6各自所示的線段LP1(實線),係表示形成在表面SF2上的第2膜層M2的膜厚的變化。圖5、圖6各自所示的線段LP2(虛線),係表示形成在表面SF2上的第1膜層M1的膜厚的變化。形成在表面SF2上的第1膜層M1,包含藉由第1次(最初)的步驟ST1的實行而形成在表面SF2上的第1膜層M1,與藉由第2次以後的各步驟ST1的實行而形成在表面SF2上的第2膜M2的表面上的第1膜M1。
圖5、圖6各自所示的線段LP3(虛線),係表示形成在表面SF1之上的第1膜層M1的膜厚的變化。
圖4、圖5以及圖6各自所示的厚度TH1a,係藉由第1次的步驟ST1的實行而形成在表面SF1上的第1膜層M1的厚度的最大值。圖4、圖5以及圖6各自所示的厚度TH1b,係藉由第1次的步驟ST1的實行而形成在表面SF2上的第1膜層M1的厚度的最大值。
圖4、圖5以及圖6各自所示的厚度TH2,係在線段LP1開始上升的時序(在圖4以及圖5的態樣為時序TMb,在圖6的態樣為時序TMa2)於表面SF1上的第1膜層M1的厚度。圖4、圖5以及圖6各自所示的厚度TH3,係在步驟ST5結束的時序(步驟ST1再度開始的時序)於表面SF1上的第1膜層M1的厚度。
首先,準備具有表面SF的晶圓W。晶圓W係載置在電漿處理裝置10的處理容器12內的載置台PD上。
晶圓W,具有表面SF。表面SF,如圖4(a)~圖4(c)所示的,包含第1區域(區域R1)的表面SF1與第2區域(區域R2)的表面SF2。區域R1被包含在除了晶圓W之中的區域R2以外的區域內。區域R1與區域R2,亦可由相同材料所形成。例如,區域R1與區域R2亦可均由含矽的相同材料所形成。
在其他例子中,區域R1與區域R2亦可各自由相異材料所形成。此時,區域R1可為光阻、含金屬遮罩,或硬遮罩等。該等區域R1可由矽、有機物、金屬其中任一種所構成。區域R1的材料的具體例,可為Si、SiC、有機膜、金屬(W、Ti、WC等)、SiON、SiOC其中任一種。
另一方面,區域R2亦可為隔著形成圖案的區域R1受到蝕刻的被蝕刻膜。區域R2的具體例,可為SiO2 、SiON、SiOC、SiN其中任一種。
在方法MT中,首先實行步驟ST1。圖4、圖5以及圖6所示的時序TMa1,表示在方法MT的開始時步驟ST1開始的時序,並表示在方法MT的實行中步驟ST5結束的時序(步驟ST1再度開始的時序)。
步驟ST1,係在實行了將晶圓W提供到處理容器12內的步驟之後,於配置在處理容器12內的晶圓W的表面SF藉由電漿化學氣相沉積(電漿CVD)選擇性地形成第1膜層M1。具體而言,係對處理容器12內供給成膜氣體以及惰性氣體,並供給高頻電力,以從所供給的氣體產生電漿。藉由所產生的電漿在晶圓W的區域R1的表面SF1上形成第1膜層M1。另外,在晶圓W的區域R2的表面SF2上形成第1膜層M1[圖4(a)]。形成於區域R1的第1膜層M1,比形成於區域R2的第1膜層M1更厚。
於步驟ST1可使用含碳氣體。若使用例如氟碳化合物氣體,則會形成氟碳化合物膜作為第1膜層M1。另外,若使用例如氫氟碳化合物氣體,則會形成氫氟碳化合物膜作為第1膜層M1。另外,若使用例如碳氫化合物氣體,則會形成碳氫化合物膜作為第1膜層M1。第1膜層M1具有疏水性。因此,在第1膜層M1上,並未形成前驅物層,而在後續的步驟ST5中並未形成第2膜層M2。
步驟ST1,可包含將表面SF2上的第1膜層M1除去的清潔處理(步驟ST1a、圖6)。像這樣,步驟ST1,會在對晶圓W的表面形成第1膜層M1之後,將晶圓W的表面上的第1膜層M1除去。在步驟ST1a中可使用含氧氣體(例如CO2 氣體)的電漿。
接著,實行步驟ST5。圖4、圖5以及圖6所示的時序TMa2,係表示在步驟ST1之後步驟ST5開始的時序(步驟ST1結束的時序)。
步驟ST5,具備序列SQ1以及步驟ST3。步驟ST5,於晶圓W的表面SF之中的並未存在第1膜層M1的區域藉由原子層沉積形成第2膜層M2。更具體而言,步驟ST5藉由原子層沉積於晶圓W的表面SF之中的露出的表面SF形成第2膜層M2。並未存在第1膜層M1的區域,係晶圓W的表面SF之中的在步驟ST5中並未形成第1膜層M1的區域。並未存在第1膜層M1的區域,可更包含晶圓W的表面SF之中的在步驟ST1中所形成的第1膜層於步驟ST5之前的電漿處理或步驟ST5中被除去的區域。序列SQ1,包含步驟ST2a(第3步驟)、步驟ST2b(第4步驟)、步驟ST2c(第5步驟),以及步驟ST2d(第6步驟)。藉由重複序列SQ1而於晶圓W的表面SF形成第2膜層M2。步驟ST1以及步驟ST5,構成序列SQ2。
序列SQ1,係表示原子層沉積的1個循環。圖3係表示一般原子層沉積的一連串步驟。原子層沉積,係用第2氣體G1(前驅物氣體)的電漿P1於晶圓W的表面形成前驅物層[圖3(b)所示的膜層Ly1]。接著吹淨(purge)處理空間Sp,將並未吸附的第2氣體G1除去。接著,用改質電漿,將前驅物層轉換,形成原子層沉積層[圖3(c)所示的膜層Ly2]。接著選擇性地吹淨處理空間Sp。
在序列SQ1中,步驟ST2a,將第2氣體G1供給到處理容器12內,於晶圓W的並未存在第1膜層M1的區域(例如表面SF2)形成前驅物層。該第2氣體G1係化學吸附(chemisorption)於晶圓W的表面而形成前驅物層。第2氣體G1,可使用氨基矽烷類氣體、含矽氣體、含鈦氣體、含鉿氣體、含鉭氣體、含鋯氣體、含有機物氣體的其中任一種。在步驟ST2a中,可產生第2氣體G1的電漿,亦可並未產生。
步驟ST2b吹淨處理空間Sp。藉由吹淨,將氣相狀態的第2氣體G1除去。例如,步驟ST2b,係將氬氣或氮氣等的惰性氣體供給到處理容器內以進行吹淨。在該步驟中,過剩地附著於開口OP的內側的表面OPa的氣體分子也被除去,前驅物層成為單分子層。
步驟ST2c,在處理容器12內令前驅物曝露在改質電漿中,以將前驅物層轉換(改質)為原子層(第2膜層M2的一部分)。在該步驟中係使用將前驅物層轉換成薄膜的第3氣體。第3氣體,可為含氧氣體、含氮氣體或含氫氣體的其中任一種。第3氣體,例如,可為O2 氣體、CO2 氣體、NO氣體、SO2 氣體、N2 氣體、H2 氣體或NH3 氣體的其中任一種。步驟ST2c,將第3氣體供給到處理空間Sp內。然後,從第1高頻電源62及/或第2高頻電源64供給高頻電力,產生第3氣體的電漿(改質電漿)。所產生的改質電漿將前驅物層改質。另外,因為該改質電漿,第1膜層M1的一部分被除去,第1膜層M1的膜厚減少。因此,即使因為步驟ST1而在表面SF2上形成第1膜層M1,藉由將SQ1實行1次以上,第1膜層M1便會從表面SF2上被除去。此時,形成在表面SF1上的第1膜層M1的薄膜的膜厚亦同時減少。
接著,步驟ST2d,吹淨處理空間Sp。具體而言,在步驟ST2c中所供給的第3氣體被排出。例如,在步驟ST2d中,亦可將氬氣或氮氣等的惰性氣體供給到處理空間Sp並排出。另外,SQ1亦可並未包含步驟ST2d。
如以上所說明的,藉由將序列SQ1實行1個循環,便可在表面SF2上形成一層份的構成第2膜層M2的膜層。藉由重複序列SQ1,於第1膜層M1被除去而露出的表面SF2形成第2膜層M2。
在圖4、圖5所示的態樣中,時序TMb,係表示「藉由實行步驟ST5(序列SQ1),表面SF2上的第1膜層M1完全被除去,而表面SF2露出」的時序。在圖6所示的態樣中,時序TMa2,係表示「藉由在步驟ST1中實行步驟ST1a,在步驟ST5實行之前表面SF2上的第1膜層M1完全被除去,而表面SF2露出」的時序。
表面SF1上的第1膜層M1亦會在步驟ST5的實行中逐漸被削蝕。因此,如圖5、圖6所示的,在步驟ST5實行結束時的第1膜層M1的厚度TH3的值,比在步驟ST5實行開始時的第1膜層M1的厚度(在圖5的態樣中為厚度TH1a,在圖6的態樣中為厚度TH2)的值更小。另外,在圖5的態樣中,在時序TMb的表面SF1上的第1膜層M1的厚度TH2的值,比在步驟ST5開始的時序TMa2的表面SF1上的第1膜層M1的厚度TH1a的值更小。
圖5、圖6所示的時序TMc,係表示在表面SF1上的第1膜層M1被除去而表面SF1露出,且在表面SF1上開始形成第2膜層M2的時序。圖5、圖6各自所示的線段LP4(一點虛線),係表示當在時序TMc之後於表面SF1上開始形成第2膜層M2時,在表面SF1上的第2膜層M2的膜厚的變化。
參照圖5說明在序列SQ1中第1膜層M1以及第2膜層M2的膜厚的變化。藉由步驟ST1,第1膜層M1分別形成在表面SF1以及表面SF2之上。在圖5的態樣中,係在時序TMa2,在圖6的態樣中,係在時序TMa3,於表面SF1上形成厚度TH1a的第1膜層M1(線段LP3),於表面SF2上形成厚度TH1b的第1膜層M1(線段LP2)。
在表面SF1上的第1膜層M1的成膜速度(在步驟ST1中的線段LP3的傾斜度),比在表面SF2上的第1膜層M1以及第2膜層M2各自的成膜速度(在步驟ST1中的線段LP2的傾斜度、在步驟ST5中的線段LP1的傾斜度)更快(傾斜度較大)。
在圖5的態樣中,藉由接下來的步驟ST5,表面SF1上的第1膜層M1被削蝕,膜厚逐漸減少。在圖6的態樣中,藉由在步驟ST1的實行中的時序TMa3開始的步驟ST1a(清潔)與接續步驟ST1的步驟ST5,表面SF1上的第1膜層M1被削蝕,膜厚逐漸減少。另一方面,藉由重複序列SQ1,第1膜層M1從表面SF2上被除去,之後,在表面SF2上形成第2膜層M2。
藉由重複序列SQ1,表面SF1上的第1膜層M1被削蝕,在步驟ST5結束時,會在表面SF1上殘留第1膜層M1,或露出表面SF1。在表面SF2上形成第2膜層M2。藉此,如圖4所示的,在步驟ST5結束的時序TMa1,表面SF1上的第1膜層M1的厚度TH3比厚度TH2的值更小,或為零。
接著,在方法MT中,步驟ST3判定是否結束序列SQ1。具體而言,在步驟ST3中,判定序列SQ1的重複次數是否到達預先設定次數。
當在步驟ST3中判定序列SQ1的重複次數並未到達預先設定次數時(步驟ST3:NO),便重複序列SQ1。另一方面,當判定序列SQ1的重複次數到達預先設定次數時(步驟ST3:YES),便結束序列SQ1。像這樣,方法MT,將步驟ST5(序列SQ1)重複複數次。
序列SQ1的重複次數,可根據第1膜層M1的膜厚決定之。在一實施態樣中,亦可根據在表面SF1上的第1膜層M1一部分殘留的時序決定之。在其他實施態樣中,序列SQ1的重複次數,亦可根據第1膜層M1從表面SF1被除去的時序TMc設定之。
在方法MT中,將序列SQ2實行1次以上。藉由重複序列SQ2,如圖5的線段LP3所記載的第1膜層M1形成在SF1上的第1膜層M1上。如圖5的線段LP1所示的,第2膜層M2在表面SF2上持續形成。重複序列SQ2,直到第2膜層M2到達目標厚度為止。在序列SQ2中,藉由步驟ST1再度形成第1膜層M1,藉由步驟ST5更進一步形成第2膜層M2。步驟ST1與步驟ST5可在同一處理容器(處理容器12)內在保持真空的狀態下連續實行。
接著,在方法MT中,步驟ST4判定是否結束序列SQ2。更具體而言,步驟ST4,判定序列SQ2的重複次數是否到達預先設定次數。
當在步驟ST4中判定序列SQ2的重複次數並未到達預先設定次數時(步驟ST4:NO),便重複序列SQ2。另一方面,當在步驟ST4中判定序列SQ2的重複次數到達預先設定次數時(步驟ST4:YES),便結束序列SQ2。
在此,序列SQ2的重複次數,係根據在表面SF2上的第2膜層M2的目標膜厚而決定之。亦即,藉由設定序列SQ2的重複次數便可調節第2膜層M2的膜厚。
在其他實施態樣中,步驟ST5亦可經過第2次的時序TMa1並持續進行。此時,在藉由步驟ST5而表面SF1上的第1膜層M1被除去、露出之後仍重複步驟ST5。其結果,於表面SF1亦形成第2膜層M2。另一方面,會在表面SF2上形成第2膜層M2而成為更厚的第2膜層M2。
在其他實施態樣中,可在步驟ST1形成第1膜層M1之後實行清潔晶圓W的步驟ST1a。若實行步驟ST1a,則在晶圓W的表面SF上所形成的第1膜層M1的一部分會被除去,且表面SF2露出。藉此,從步驟ST5開始之後便會立即開始在表面SF2上形成第2膜層M2(圖6係表示在區域R2的表面SF2上所形成的第2膜層M2的膜厚的變化)。此時,TMa與TMb為相同時序。
另外,在其他的例子中,在步驟ST1中,可藉由變更電漿CVD的條件而在表面SF1與表面SF2形成膜厚相異的第1膜層M1。
例如,在圖7(a)中於圖案的上部第1膜層M1形成得比較厚,越往該圖案的底部第1膜層M1變得越薄。在圖7(b)中於圖案的上部與底部形成了第1膜層M1。形成在上部的第1膜層M1亦可比形成在底部的第1膜層更厚。於圖案的側壁(Side wall)部位大致並未形成第1膜層。另外,圖7(a)以及圖7(b)所示的圖案,亦可藉由在實施方法MT之前所實行的蝕刻而形成之。
參照圖8,對在步驟ST1中採用了異向性電漿條件的態樣進行說明。於晶圓W的表面SF設置了圖案。該圖案,係在實施方法MT之前藉由蝕刻所形成。在此,區域R1,係上部區域(低深寬比區域)。區域R2,係底部區域(高深寬比區域)。在該例中,將區域R1的表面稱為表面SF1,將區域R2的表面稱為表面SF2。如狀態CD1所示的,於表面SF1第1膜層M1形成得比較厚,於表面SF2第1膜層M1形成得比較薄,或並未形成。狀態CD1,顯示出於表面SF2並未形成第1膜層的例子。
狀態CD1,顯示出藉由實行步驟ST1而於表面SF1形成第1膜層M1的態樣。第1膜層M1僅設置於表面SF1。當步驟ST1令第1膜層M1形成於表面SF1以外的表面(例如表面SF2等)時,係用含氧電漿等將表面SF1以外所形成的第1膜層M1除去,以形成狀態CD1(步驟ST1a)。
狀態CD2,係顯示出在第1次的步驟ST5結束的時序TMa且在第2次的步驟ST1之前的晶圓W的狀態。藉由步驟ST5,第1膜層M1的一部分被除去而變薄。藉由步驟ST5的原子層沉積,於側壁以及底部形成了第2膜層M2。
接著參照圖9。狀態CD3,顯示出在狀態CD2之後的第2次的步驟ST1之後且在ST5的第2次開始的時序TMa的晶圓W的狀態。在狀態CD3中,係藉由第2次的步驟ST1再度形成第1膜層M1。
狀態CD4,顯示出在狀態CD3之後且在第2次的步驟ST5之後的時序TMa(在第3次的步驟ST1的實行之前)的晶圓W。第1膜層M1,被步驟ST5削蝕而變薄。於圖案的底部(區域R2),藉由步驟ST5,第2膜層M2形成得更厚。序列SQ2,可實行複數次,令第2膜層M2形成吾人所期望的厚度。相較於將第1膜層M1一口氣形成得很厚的態樣,由於不會塞住開口(區域R1),故可控制性良好地實行之後的步驟ST5(原子層沉積)。
圖10更進一步顯示出另一實施態樣。該實施態樣所使用的圖案係藉由在方法MT之前實行的蝕刻所形成。該蝕刻與方法MT亦可在同一處理容器內連續實行。狀態CD5,顯示出藉由第1次的步驟ST1,第1膜層M1被設置於構造(feature)的上部側的區域R1與構造的底部的區域R2時的晶圓W的狀態。第1膜層M1形成於表面SF1與表面SF2。
狀態CD6,顯示出在對狀態CD5實行過第1次的步驟ST5之後(時序TMa)且在第2次的步驟ST1之前的晶圓W。在狀態CD6中,表面SF1上的第1膜層M1被步驟ST5削蝕而變薄。另一方面,表面SF2上的第1膜層M1被除去而表面SF2露出。另一方面,於構造的側壁(表面SF3),形成了第2膜層M2。
圖11所示的狀態CD7,顯示出在狀態CD6之後步驟ST5仍持續進行時的晶圓W。若實行步驟ST5,則在表面SF1上的第1膜層M1會被除去,而露出表面SF1。在表面SF2上形成了第2膜層M2。在表面SF3上的第2膜層M2比在表面SF2上的第2膜層M2更厚。
圖11所示的狀態CD8,顯示出在狀態CD7之後步驟ST5仍持續進行時的晶圓W。於在狀態CD7中所露出的表面SF1,藉由步驟ST5形成第2膜層M2。依照在表面SF3上的第2膜層M2、在表面SF2上的第2膜層M2、在表面SF1上的第2膜層M2的順序變薄。像這樣,於區域R1、區域R2、區域R3等各區域形成了厚度相異的第2膜層M2。在此,係顯示出異向性電漿的例子,惟藉由等向性電漿形成第1膜層M1的態樣,亦可藉由重複步驟ST5同樣地依照區域形成膜厚相異的第2膜層。
上述係揭示在狀態CD6之後更形成第1膜層M1並形成膜厚相異的第2膜層的例子,惟並非僅限於該例,亦可在狀態CD6之後蝕刻區域R2。若根據該構造,由於在構造的側壁(表面SF3)形成了第2膜層M2,故可避免在蝕刻時形成弓形彎曲。亦可在同一處理容器內實行方法MT與後續的蝕刻。藉此便可提高產能。
(變化實施例1:不飽和原子沉積) 步驟ST5,藉由在步驟ST2a中令晶圓W的表面的前驅物層的形成不飽和,及/或在步驟ST2c中令前驅物層變成第2膜層M2的轉換不飽和,便可將第2膜層M2形成次保角形狀(sub-conformal)。亦即,步驟ST5中的第2膜層M2的形成步驟,亦可藉由不飽和原子沉積而實行之。不飽和原子沉積,滿足下述(a)~(c)的其中任一項。 (a)令用以於晶圓W的並未存在第1膜層M1的區域形成前驅物層的第2氣體G1的吸附不飽和。 (b)令吸附於晶圓W的並未存在第1膜層M1的區域的第2氣體G1的改質不飽和。 (c)令第2氣體G1的吸附與吸附於晶圓W的並未存在第1膜層M1的區域的第2氣體G1的改質不飽和。 不飽和原子沉積,除了令第2氣體G1並未吸附於表面全部的態樣之外,,亦存在不令其完全改質的態樣。若藉由不飽和原子沉積,便可將第2膜層形成為次保角形狀。更具體而言,便可「在圖案上部將第2膜層M2形成得比較厚,且越往該圖案的底部將第2膜層M2形成得越薄」。另外,除了上述的(a)~(c)項之外,關於不飽和原子沉積的步驟以及條件等,可與上述通常的原子沉積的步驟以及條件等相同。因此,在步驟ST5中,即使取代通常的原子沉積而實行不飽和原子沉積,藉由步驟ST2c中的第3氣體,第1膜層M1的一部分仍會被除去,第1膜層M1的膜厚會減少或消失。
於圖12、圖13,揭示藉由不飽和原子沉積實行步驟ST5中的第2膜層M2的形成的變化實施例1。變化實施例1所使用的圖案係藉由在方法MT之前實行的蝕刻所形成。該蝕刻與方法MT亦可在同一處理容器(例如處理容器12)內連續實行。狀態CD9,顯示出藉由第1次的步驟ST1,第1膜層M1被設置於構造(feature)的上部側的區域R1與構造的底部的區域R2時的晶圓W的狀態。第1膜層M1形成於表面SF1與表面SF2。
狀態CD10,顯示出在對狀態CD9實行了第1次的步驟ST5之後(時序TMa1)且在第2次的步驟ST1之前的晶圓W。在狀態CD10中,表面SF1上的第1膜層M1被步驟ST5削蝕而變薄。另一方面,在表面SF2上的第1膜層M1被除去而表面SF2露出。另外,於構造的側壁(表面SF3),形成了第2膜層M2。在變化實施例1中,由於係藉由不飽和原子沉積實行步驟ST5中的第2膜層M2的形成,故在圖案上部將第2膜層M2形成得比較厚,且越往該圖案的底部第2膜層M2變得越薄。另外,無論在狀態CD9中有無第1膜層M1,於圖案底部均並未形成第2膜層M2。
圖13所示的狀態C11,顯示出在狀態CD10之後步驟ST5仍持續進行時的晶圓W。若實行步驟ST5,則在表面SF1上的第1膜層M1會被除去,而露出表面SF1。
圖13所示的狀態CD12,顯示出在狀態CD11之後步驟ST5仍持續進行時的晶圓W。在狀態C12中,於因為步驟ST5而露出的表面SF1形成了第2膜層M2。
像這樣,藉由不飽和原子沉積實行步驟ST5中的第2膜層M2的形成,便可更進一步調整第2膜層M2的形成位置或膜厚。
(變化實施例2:對應第1膜層M1的厚度的處理條件的變更) 當重複實行步驟ST5與在步驟ST5之後於處理容器12內蝕刻晶圓W的步驟(後述圖14所示的步驟ST6)時,藉由變更步驟ST5的程序條件,便可變更第2膜層M2的位置以及厚度。亦即,上述係揭示在狀態CD10之後更進一步形成第1膜層M1,並形成第2膜層M2的例子,惟並非僅限於該例,亦可在狀態CD10之後對區域R2進行蝕刻。另外,亦可重複實行區域R2的蝕刻步驟與序列SQ1或序列SQ2。若根據該構造,由於在構造的側壁(表面SF3)形成了第2膜層M2,故可避免在蝕刻時發生弓形彎曲等的形狀異常。
圖14,係表示在第2膜層M2形成之後對區域R2進行蝕刻時的處理方法的一例的流程圖。圖15、圖16,係用來說明圖14所示的處理方法的一例的圖式。
圖15所示的狀態CD13,相當於圖12中的狀態CD10,顯示出在對區域R2進行蝕刻之前的晶圓W的狀態。第1膜層M1形成於表面SF1,第2膜層M2於側壁(表面SF3)形成次保角形狀。第2膜層M2,以覆蓋容易因為蝕刻而發生形狀異常的第1膜層M1的正下方的方式形成。
狀態CD14,顯示出對狀態CD13實行了第1次的蝕刻ST6之後的狀態。第1膜層M1形成於表面SF1,第2膜層M2於側壁(表面SF3)形成次保角形狀。第2膜層M2被蝕刻步驟削蝕了內壁。若從狀態CD14更進一步重複實行步驟ST5以及步驟ST6,則第1膜層M1的頂部會逐漸地被削蝕,從第1膜層M1的頂部到作為蝕刻對象的區域R2的表面SF2的距離會發生變化(狀態CD15)。此時,若不改變步驟ST2a以及ST2c的處理條件而形成第2膜層M2,則第2膜層M2的形成位置,會比發生形狀異常的第1膜層M1的正下方更下方。
於是,變化實施例2,在蝕刻(步驟ST6)以及步驟ST7之後,判定第1膜MT1的膜厚是否為既定值(步驟ST8)。第1膜層M1的膜厚是否為既定值的判定,亦可根據步驟ST5實行之前的第1膜層M1的膜厚與步驟ST5以及步驟ST6的實行次數而實行之。然後,當判定第1膜層M1的膜厚為既定值時(步驟ST8,YES),便對步驟ST2a以及步驟ST2c的處理條件進行再設定(步驟ST9)。例如,當以「令在步驟ST2a中的被覆率沿著圖案的深度方向變化」的方式設定處理條件時,則以第2氣體G1吸附於圖案的更上部的方式變更處理條件。例如,令下一步驟ST2a的處理時間比之前的步驟ST2a時的處理時間更短。另外,例如,當以「令在步驟ST2c中的被覆率沿著圖案的深度方向變化」的方式設定處理條件時,則以第3氣體在圖案的更上部發生反應的方式變更處理條件。例如,將處理室的溫度降低。另一方面,當判定第1膜層M1的膜厚並非既定值時(步驟ST8,NO),則不變更處理條件而回到步驟ST5。
像這樣,藉由對應第1膜層M1的膜厚調整處理條件,便可於容易發生形狀異常的部位選擇性地形成第2膜層M2。例如,在狀態CD15中,第1膜層M1的膜厚為處理開始時的一半左右,從頂部到作為蝕刻對象的區域R1的距離縮短。此時,變更處理條件以縮短第2膜層M2所形成的深度方向的距離。如是,便可像狀態CD16那樣,於第1膜層M1正下方的容易發生形狀異常的位置持續地形成第2膜層M2。
另外,當形狀異常發生於作為蝕刻對象的區域R1時亦同,藉由更新處理條件並實行步驟ST5,便可對圖案形狀進行修正。
再者,當因為蝕刻(步驟ST6)而圖案的深寬比增加時,亦可因應深寬比的增加,而變更步驟ST2a以及步驟ST2c的處理條件。例如,亦可令在步驟ST2c所產生的自由基的輸送量增加。亦即,亦可以「隨著蝕刻(步驟ST6)的次數增加,第2膜層M2所形成的位置越靠作為蝕刻對象的區域R1的上部」的方式變更處理條件。另外,當處理條件係重複步驟ST2a以及步驟ST2c時,可設置成每次均為相異的處理條件,亦可在重複步驟ST2a以及步驟ST2c數次之後設置成相異的處理條件。另外,亦可因應第1膜層M1以外的主要因素,適當變更處理條件。
(變化實施例3:晶圓面內的膜厚調整) 在變化實施例1以及變化實施例2中,係藉由調整處理條件,以調整第2膜層M2的被覆率與膜厚。另外,步驟ST2a以及步驟ST2c中的處理條件可依照以下的二個思路進行調整。 (1)藉由控制劑量以控制圖案的深度方向的成膜位置。 (2)控制所形成的第2膜層M2的膜厚。
在變化實施例1以及變化實施例2中,主要係以上述(1)的思路控制成膜位置。變化實施例3,更以上述(2)的思路調整處理條件。亦即,在步驟ST5中,將載置晶圓W的載置台PD的溫度對應位置的不同而控制成不同溫度,便可令所形成的第2膜層M2的厚度因應載置台PD的溫度而變化。圖17,係說明被處理體(例如晶圓W)的溫度與成膜量的關係的圖式。圖17的橫軸,表示晶圓W的溫度[℃],圖17的縱軸,表示成膜量[nm]。在基板處理裝置(例如電漿處理裝置10)中所處理的晶圓W,例如,係直徑約300[mm]的圓盤形狀。已知在對晶圓W實行成膜處理時,成膜量會因為晶圓W的溫度而發生變動。圖17(a),係表示晶圓W的溫度與成膜量的關係。如圖17(a)所示的,當晶圓W的溫度升高時成膜量會增加,當晶圓W的溫度降低時成膜量會減少。
另一方面,已知在蝕刻等的處理時,於晶圓W的中心部位CP,形狀異常(例如弓形彎曲)程度較小,而在晶圓W的邊緣部位EP,形狀異常程度會有變大的傾向[參照圖17(b)]。
於是,在變化實施例3中,如圖17(b)所示的,將晶圓W的載置台(靜電夾頭)分割成同心圓狀的複數個區域ZN,以便能夠獨立控制各區域ZN的溫度。然後,將具有形狀異常程度較小的傾向的中心部位CP的溫度,控制成比具有形狀異常程度較大的傾向的邊緣部位EP更低。若以該等方式控制,便可因應晶圓W的半徑方向的位置調整所形成的保護膜的膜厚,進而提高所形成的開口尺寸的面內均一性。
另外,為了控制膜厚而如圖17(b)所示的設置了在半徑方向以及周圍方向上所分割的複數個區域ZN,便可對其各別獨立控制溫度,藉此,除了面內均一性提高之外,亦可善用溫度控制。例如,亦可實行改變形成於晶圓W的各位置的保護膜的厚度以形成相異形狀的開口等的處理。
以下,將在步驟ST1、步驟ST2a、步驟ST2c中可使用的處理條件的複數個具體例,揭示於實施例1以及實施例2。
(實施例1)在步驟ST1中實行電漿CVD。晶圓W的表面SF,包含SiO2 膜與其上所設置的Si遮罩。 <步驟ST1> ・處理空間Sp內的壓力:20 [ mTorr ] ・第1高頻電源62的電力:300 [ W ] ・第2高頻電源64的電力:0 [ W ] ・第1氣體流量:C4 F6 氣體(30 [ sccm ] )/Ar氣體(300 [ sccm ] ) ・晶圓W的溫度:40 [ ℃ ] ・實行時間:15 [ 秒 ] <步驟ST2a> ・處理空間Sp的壓力:100 [ mTorr ] ・第1高頻電源62的電力:0 [ W ] ・第2高頻電源64的電力:0 [ W ] ・第1氣體流量:氨基矽烷類氣體(50 [ sccm ] ) ・晶圓W的溫度:10 [ ℃ ] ・實行時間:15 [ 秒 ] <步驟ST2c> ・處理空間Sp的壓力:200 [ mTorr ] ・第1高頻電源62的電力:300 [ W ] ・第2高頻電源64的電力:0 [ W ] ・第1氣體流量:CO2 氣體(300 [ sccm ] ) ・晶圓W的溫度:10 [ ℃ ] ・實行時間:10 [ 秒 ]
(實施例2)在實施例2中,係在步驟ST1中實行異向性的電漿CVD。藉由晶圓W的表面SF的SiO2 膜上所設置的Si遮罩作區隔劃分。 <步驟ST1> ・處理空間Sp的壓力:30 [ mTorr ] ・第1高頻電源62的電力:0 [ W ] ・第2高頻電源64的電力:25 [ W ] ・第1氣體流量:C4 F6 氣體(40 [ sccm ] )/Ar氣體(1000 [ sccm ] ) ・晶圓W的溫度:60 [ ℃ ] ・實行時間:15 [ 秒 ] <步驟ST2a> ・處理空間Sp的壓力:200 [ mTorr ] ・第1高頻電源62的電力:0 [ W ] ・第2高頻電源64的電力:0 [ W ] ・第1氣體流量:氨基矽烷類氣體(100 [ sccm ] ) ・晶圓W的溫度:60 [ ℃ ] ・實行時間:15 [ 秒 ] <步驟ST2c> ・處理空間Sp的壓力:200 [ mTorr ] ・第1高頻電源62的電力:500 [ W ] ・第2高頻電源64的電力:0 [ W ] ・第1氣體流量:CO2 氣體(300 [ sccm ] ) ・晶圓W的溫度:60 [ ℃ ] ・實行時間:2 [ 秒 ]
另外,在上述的方法MT中,在步驟ST1所產生的電漿無論係異向性或等向性均可,只要因應第2膜層M2膜厚分布進行調整即可。在其他態樣中,當重複序列SQ2時,亦可重複實行異向性的電漿CVD的步驟ST1與實行等向性的電漿CVD的步驟ST1。在其他態樣中,在步驟ST1的1次的實行中,亦可依序實行異向性的電漿CVD與等向性的電漿CVD。另外,亦可令第m次(m為正整數)的步驟ST1的CVD條件與第m+1次的步驟ST1的CVD條件相異。藉此便可變更第1膜層M1的形成部位,進而形成第1膜層M1的膜厚分布。
在上述的方法MT中,可將步驟ST1所實行的電漿CVD的條件作各種變更。在此思考藉由蝕刻而在晶圓W的表面設置圖案的態樣。圖案,具有低深寬比區域與高深寬比區域。在一實施態樣中,可變更步驟ST1所使用的第1氣體的氣體種類。作為第1氣體,例如可使用C4 F6 氣體或C4 F8 氣體。C4 F6 氣體的附著係數比C4 F8 氣體的附著係數更大。因此,若使用C4 F6 ,則第1膜層M1會在晶圓W的表面側(低深寬比區域)形成得更厚。另一方面,若使用C4 F8 ,則第1膜層M1會在底部側(高深寬比區域)形成得更厚。由於像這樣因為氣體種類而附著係數有所不同,故藉由變更氣體種類便可控制第1膜層M1的形成位置。
另外,亦可變更第2高頻電源64的電力。在一例中可令該電力導通(ON)、切斷(OFF)。在其他例子中,亦可令該電力值在高值與低值之間變化。當提高該電力值時,如圖7(b)所示的第1膜層M1於構造的水平面(頂面與底部)形成得比較厚。另一方面,形成於側壁的第1膜層M1較薄。當降低該電力值時,第1膜層M1在上部側形成得更厚。
另外,在其他態樣中,可變更步驟ST1時的晶圓溫度。若步驟ST1的實行時的溫度相對提高,則第1膜層M1會在底部側(高深寬比區域)形成得更厚。若步驟ST1時的溫度相對降低,則第1膜層M1會在晶圓W的表面側(低深寬比區域)形成得更厚。
另外,可變更步驟ST1時的壓力。若將壓力相對提高,則所產生的電漿為等向性者。藉由等向性的電漿,於晶圓W的表面側(低深寬比區域)會形成更厚的第1膜層M1。另一方面,若壓力相對降低,則所產生的電漿為異向性者。藉由異向性的電漿,於底部側(高深寬比區域)會形成更厚的第1膜層M1。
另外,藉由變更步驟ST1的實行時的第1高頻電源62的電力,便可變更電漿的解離狀態。因此,藉由變更該電力,所產生的自由基種類或自由基比例跟著變更,進而第1膜層M1成膜時的附著係數也跟著變更。
在上述的方法MT中,步驟ST5的條件,可作各種變更。在一例中,可變更步驟ST2c的實行時間(產生電漿的時間)。藉由該變更便可調節第1膜層M1的除去量。
另外,在步驟ST2c中,可變更第2高頻電源64的電力。若令第2高頻電源64的電力相對性地增大,則構造(feature)的水平部分(頂面、底部)的第1膜層M1會被除去得更多。若令第2高頻電源64的電力相對性地減小,則第1膜層M1之中的位於構造的側壁(side wall)的部分的除去量會增加。
另外,在步驟ST2c中,可變更處理容器12內的壓力。將該壓力相對提高,則電漿中的離子能量會減小,等向性的反應會變優異。若將該壓力相對降低,則電漿中的離子能量會增大,異向性的反應會變優異。因此,藉由變更壓力便可調節第1膜層M1的除去區域以及各區域的除去量。
另外,在步驟ST2c的實行時,可變更第1高頻電源62的電力。若將該電力相對增大,則電漿密度會變大。
根據以上所述,當重複實行步驟ST1與步驟ST5時,可令步驟ST1或步驟ST5的上述1項以上的條件在第m次(m為正整數)的實行時與在第m+1次的實行時相異。當重複實行序列SQ1以於區域R2選擇性地形成第2膜層M2時,可令步驟ST2c的上述1項以上的條件,在第n次(n為正整數)的實行時與第n+1次的實行時相異。藉此,第1膜層M1及/或第2膜層M2的成膜控制性便提高。
藉由在例如2秒~10秒的範圍內調節步驟ST2a的實行時間,便可控制第1膜層M1的除去量。此時,第1膜層M1的除去量,在序列SQ1的1個循環,可為1[nm]以下(例如0.1[nm]~0.5[nm])。另外,當第2膜層M2包含SiO2 時,第2膜層M2的沉積量,在序列SQ1的1個循環,為單原子層(亦即0.2nm左右)。例如,當設置10[nm]左右的氟碳化合物作為第1膜層M1時,將序列SQ1實行10~100個循環以將第1膜層M1除去,並形成2~20[nm]左右的第2膜層M2。形成第1膜層M1的第1氣體,因應用途選擇,可包含CF系氣體、CHF系氣體、CO氣體、CH氣體等。
方法MT,亦可在各別的電漿處理裝置的處理容器內實行步驟ST1與步驟ST5。步驟ST1,在第1處理容器內於晶圓W的區域R1用第1氣體的電漿藉由化學氣相沉積形成第1膜層M1。步驟ST5,在第2處理容器內於晶圓W的表面之中的並未形成第1膜層M1的區域R2藉由原子層沉積形成第2膜層M2。方法MT,重複實行步驟ST1以及步驟ST5。
上述的實施態樣的方法MT,可用感應耦合型電漿(Inductively Coupled Plasma)處理裝置實行之。其具備與電漿處理裝置10同樣的氣體供給系統(氣體源群40、閥門群42、流量控制器群45、氣體供給管38、氣體供給管82等)。
上述的方法MT可單獨實行,亦可在方法MT實行之前於處理容器12內對晶圓W進行蝕刻,令其形成圖案。在其他態樣中,亦可在方法MT實行之後於處理容器12內對晶圓W進行蝕刻。亦可在同一處理容器內以不破真空的方式連續實行方法MT與蝕刻。再者,在其他態樣中,亦可在同一處理容器內重複實行方法MT與蝕刻。由於在同一處理容器內無須搬運被處理體便可進行處理,故產能會提高。另一方面,亦可用各別的處理容器實行方法MT與蝕刻。此時,方法MT用的電漿激發方法與蝕刻用的電漿激發方法亦可並不相同。
以上,係針對各種實施態樣進行說明,惟本發明可在不超出該等原理的配置以及詳細內容中作出變更,此點應為本領域從業人員所理解。本發明,並非僅限於本案實施態樣所揭示的特定構造。因此,針對從專利請求範圍以及其發明精神範圍而來的全部修正以及變更請求權利。
10:電漿處理裝置 12:處理容器 12e:排氣口 12g:搬入搬出口 14:支持部 18a:第1平板 18b:第2平板 22:直流電源 23:開關 24:冷媒流通管路 26a、26b:配管 28:氣體供給管線 30:上部電極 32:絕緣性遮蔽構件 34:電極板 34a:氣體吐出孔 36:電極支持體 36a:氣體擴散室 36b:氣體通流孔 36c:氣體導入口 38:氣體供給管 40:氣體源群 42:閥門群 45:流量控制器群 46:沉積物防護部 48:排氣平板 50:排氣裝置 52:排氣管 52a:氣體導入口 54:閘閥 62:第1高頻電源 64:第2高頻電源 66、68:匹配器 70:電源 82:氣體供給管 CD1~CD16:狀態 Cnt:控制部 CP:中心部位 CS:序列實行部 EP:邊緣部位 ESC:靜電夾頭 FR:聚焦環 G1:第2氣體 He:氦氣 HP:加熱器電源 HT:溫度調節部 LE:下部電極 LP1~LP4:線段 Ly1、Ly2:膜層 M1:第1膜層 M2:第2膜層 MT:方法 P1:電漿 PD:載置台 R1~R3:區域 SF、SF1~SF3:表面 Sp:處理空間 SQ1、SQ2:序列 ST1、ST1a、ST2a~ST2d、ST3~ST9:步驟 TH1a、TH1b、TH2、TH3:厚度 TMa1、TMa2、TMa3、TMb、TMc:時序 W:晶圓 ZN:區域
[圖1] 係表示一實施態樣之處理方法的流程圖。 [圖2] 係表示實行圖1的流程圖所示的方法的電漿處理裝置的構造的一例的圖式。 [圖3] (a)係表示圖1所示的序列實行前的被處理體的狀態的圖式;(b)係表示圖1所示的序列實行中的被處理體的狀態的圖式;(c)係表示圖1所示的序列實行後的被處理體的狀態的圖式。 [圖4] (a)係表示圖1所示的序列實行前的膜層的狀態的圖式;(b)係表示圖1所示的序列實行中的膜層的狀態的圖式;(c)係表示圖1所示的序列實行後的膜層的狀態的圖式。 [圖5] 係表示圖1的流程圖所示的方法所致之第2膜層的膜厚的變化。 [圖6] 係表示圖1的流程圖所示的方法所致之第2膜層的膜厚的變化的另一態樣。 [圖7] (a)係表示藉由等向性電漿所形成的第1膜層的狀態的一例的圖式;(b)係表示藉由異向性電漿所形成的第1膜層的狀態的一例的圖式。 [圖8]係說明第1膜層由異向性電漿所形成時的膜層的形成以及除去的態樣的圖式。 [圖9] 係說明第1膜層由異向性電漿所形成時的膜層的形成以及除去的態樣的圖式。 [圖10] 係說明第1膜層由異向性電漿所形成時的膜層的形成以及除去的態樣的圖式。 [圖11] 係說明第1膜層由異向性電漿所形成時的膜層的形成以及除去的態樣的圖式。 [圖12] 係表示在圖1所示的處理方法中,第2膜層的形成係藉由不飽和原子沉積所實行時的第1膜層以及第2膜層的態樣的一例。 [圖13] 係表示在圖1所示的處理方法中,第2膜層的形成係藉由不飽和原子沉積所實行時的第1膜層以及第2膜層的態樣的另一例。 [圖14] 係表示在第2膜層形成之後對第2區域進行蝕刻時的處理方法的一例的流程圖。 [圖15] 係用來說明圖14所示的處理方法的一例的圖式。 [圖16] 係用來說明圖14所示的處理方法的一例的圖式。 [圖17] (a)係用來針對被處理體的溫度與成膜量的關係進行說明的圖式;(b)係表示被處理體被分割成複數個區域的狀態的圖式。
SQ1、SQ2:序列
ST1、ST1a、ST2a~ST2d、ST3~ST5:步驟

Claims (18)

  1. 一種被處理體的處理方法,包含:被處理體提供步驟,提供被處理體;第1步驟,於該被處理體的表面上藉由電漿化學氣相沉積選擇性地形成第1膜層;以及第2步驟,於該被處理體的並未存在該第1膜層的區域中形成第2膜層,其中該第2步驟包含:藉由將前驅物氣體供給到該被處理體以於該被處理體的該表面上形成前驅物層,以及藉由令該前驅物層曝露在改質電漿中以將該前驅物層轉換成該第2膜層,在該第2步驟時,該第1膜層的膜厚減少。
  2. 如申請專利範圍第1項之被處理體的處理方法,其中,該第1步驟與該第2步驟重複實行。
  3. 如申請專利範圍第1或2項之被處理體的處理方法,其中,並未存在該第1膜層的區域,係在該第1步驟中並未形成該第1膜層的區域。
  4. 如申請專利範圍第3項之被處理體的處理方法,其中,並未存在該第1膜層的區域,更包含在該第1步驟中所形成的該第1膜層在該第2步驟之前的電漿處理或在該第2步驟中被除去的區域。
  5. 如申請專利範圍第1或2項之被處理體的處理方法,其中, 該第1步驟,在對該表面形成該第1膜層之後,將該被處理體的表面上的該第1膜層除去。
  6. 如申請專利範圍第1或2項之被處理體的處理方法,其中,該第2步驟,重複實行一序列以形成該第2膜層;該序列包含:藉由將該前驅物氣體供給到形成該第2膜層的處理容器內以於該被處理體的該表面上形成該前驅物層;在該形成該前驅物層之後對該處理容器內的空間進行吹淨(purge);在該吹淨之後藉由令該前驅物層曝露在該改質電漿中以將該前驅物層轉換成該第2膜層;以及在該將該前驅物層轉換之後對該處理容器內的空間進行吹淨。
  7. 如申請專利範圍第1或2項之被處理體的處理方法,其中,該第2步驟,係重複實行一序列的步驟;該序列包含:藉由將該前驅物氣體供給到形成該第2膜層的處理容器內以於該被處理體的該表面上形成該前驅物層;在該形成該前驅物層之後對該處理容器內的空間進行吹淨;在該吹淨之後藉由令該前驅物層曝露在該改質電漿中以將該前驅物層轉換成該第2膜層;以及在該將該前驅物層轉換之後對該處理容器內的空間進行吹淨;在該形成該前驅物層中,令該被處理體的表面的該前驅物層的形成不飽和,及/或在該將該前驅物層轉換中,令該前驅物層變成該第2膜層的轉換不飽和,以 將該第2膜層形成次保角形狀。
  8. 如申請專利範圍第6項之被處理體的處理方法,其中,該前驅物氣體,係含矽氣體、含鈦氣體、含鉿氣體、含鉭氣體、含鋯氣體,或含有機物氣體的其中任一種;該改質電漿,係從含氧氣體、含氮氣體,或含氫氣體的其中任一種所產生。
  9. 如申請專利範圍第6項之被處理體的處理方法,其中,當重複實行該序列時,該將該前驅物層轉換的條件在實行第n次(n為正整數)時與在實行第n+1次時係相異。
  10. 如申請專利範圍第1或2項之被處理體的處理方法,其中,該第1步驟與該第2步驟係在同一處理容器內於保持真空的狀態下連續實行。
  11. 如申請專利範圍第1或2項之被處理體的處理方法,其中,在該第2步驟中,將載置該被處理體的載置台的溫度因應位置控制成不同溫度,以令所形成的該2膜層的厚度因應該載置台的溫度而變化。
  12. 如申請專利範圍第1或2項之被處理體的處理方法,其中更包含:在該第1步驟之前於該處理容器內對該被處理體進行蝕刻的步驟;以及在該第2步驟之後於該處理容器內對該被處理體進行蝕刻的步驟。
  13. 如申請專利範圍第12項之被處理體的處理方法,其中,當重複實行該第2步驟與在該第2步驟之後於該處理容器內對該被處理體進行蝕 刻的步驟時,藉由變更該第2步驟的條件,而變更該第2膜層的位置以及厚度。
  14. 如申請專利範圍第6項之被處理體的處理方法,其中,當重複實行該第1步驟與該第2步驟時,該第1步驟的條件,在實行第m次(m為正整數)時與在實行第m+1次時係相異。
  15. 一種被處理體的處理方法,包含:被處理體提供步驟,提供被處理體;開口蝕刻步驟,在該被處理體中蝕刻開口;第1步驟,其在該開口蝕刻步驟之後,於該被處理體的表面的選定區域上藉由電漿化學氣相沉積選擇性地形成第1膜層,其中形成有該第1膜層的該表面的該選定區域至少包含在該開口之外的複數表面部分;以及第2步驟,其於該被處理體的並未存在該第1膜層的複數表面部分上形成第2膜層,其中該被處理體的並未存在該第1膜層的該等表面部分包含在該開口之內的複數表面部分,其中該方法包括,在該第2步驟時,將前驅物氣體供給到該被處理體以在該開口之內的該等表面部分上形成前驅物層,以及令該前驅物層曝露在改質電漿中以將該前驅物層轉換成該第2膜層,該改質電漿令該第1膜層的膜厚減少。
  16. 如申請專利範圍第15項之被處理體的處理方法,其中,重複實行該第1步驟與該第2步驟。
  17. 一種被處理體的處理方法,包含: 被處理體提供步驟,提供被處理體到處理容器內;開口蝕刻步驟,在該被處理體中蝕刻開口;第1步驟,其在該開口蝕刻步驟之後,於配置在該處理容器內的該被處理體的表面的選定區域上藉由電漿化學氣相沉積選擇性地形成第1膜層,其中形成有該第1膜層的該表面的該選定區域至少包含在該開口之外的複數表面部分;以及第2步驟,其於該被處理體的並未存在該第1膜層的複數表面部分上藉由原子層沉積形成第2膜層,其中該被處理體的並未存在該第1膜層的該等表面部分包含在該開口之內的複數表面部分,其中該方法包括,在該第2步驟時,將前驅物氣體供給到該處理容器內以在該開口之內的該等表面部分上形成前驅物層,對該處理容器內進行吹淨,並於該處理容器內令該前驅物層曝露在改質電漿中以將該前驅物層轉換成該第2膜層,其中該改質電漿令該第1膜層的膜厚減少,其中該第2膜層於該表面的各區域具有不同的膜厚。
  18. 一種電漿處理裝置,包含:至少一處理容器,其收納被處理體;以及控制部,其控制對該被處理體的處理,其中該控制部包含重複實行一序列的序列實行部,該序列包含:第1處理,其於該被處理體的表面的選定區域上藉由電漿化學氣相沉積選擇性地形成第1膜層;以及第2處理,其於該表面之中的並未存在該第1膜層的區域形成第2膜層,其中該第2處理包含:藉由將前驅物氣體供給到該被處理體以於該被處理體的該表面上形成前驅物 層,以及藉由令該前驅物層曝露在改質電漿中以將該前驅物層轉換成該第2膜層,在該第2處理時,該第1膜層的膜厚減少。
TW108119108A 2018-06-07 2019-06-03 被處理體之處理方法及電漿處理裝置 TWI825103B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2018-109678 2018-06-07
JP2018109678 2018-06-07
JP2019-076364 2019-04-12
JP2019076364A JP7236315B2 (ja) 2018-06-07 2019-04-12 処理方法およびプラズマ処理装置

Publications (2)

Publication Number Publication Date
TW202012689A TW202012689A (zh) 2020-04-01
TWI825103B true TWI825103B (zh) 2023-12-11

Family

ID=68764171

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108119108A TWI825103B (zh) 2018-06-07 2019-06-03 被處理體之處理方法及電漿處理裝置

Country Status (4)

Country Link
US (1) US10707100B2 (zh)
JP (1) JP7236315B2 (zh)
KR (1) KR20190139136A (zh)
TW (1) TWI825103B (zh)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180061628A1 (en) * 2016-08-31 2018-03-01 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3103227B2 (ja) * 1992-12-09 2000-10-30 株式会社日立製作所 半導体装置の製造方法
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8865594B2 (en) * 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR20180069038A (ko) 2015-11-13 2018-06-22 어플라이드 머티어리얼스, 인코포레이티드 선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들
JP6822051B2 (ja) * 2016-10-14 2021-01-27 東京エレクトロン株式会社 成膜装置及び成膜方法
TWI805162B (zh) 2017-04-18 2023-06-11 日商東京威力科創股份有限公司 被處理體之處理裝置
US10854459B2 (en) 2017-09-28 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure passivating species drive-in method and structure formed thereby

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180061628A1 (en) * 2016-08-31 2018-03-01 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Also Published As

Publication number Publication date
US10707100B2 (en) 2020-07-07
US20190378730A1 (en) 2019-12-12
KR20190139136A (ko) 2019-12-17
TW202012689A (zh) 2020-04-01
JP2019216235A (ja) 2019-12-19
JP7236315B2 (ja) 2023-03-09

Similar Documents

Publication Publication Date Title
US11658036B2 (en) Apparatus for processing substrate
JP7320646B2 (ja) 被処理体を処理する方法
JP6559430B2 (ja) 被処理体を処理する方法
JP6529357B2 (ja) エッチング方法
JP2016076621A (ja) 被処理体を処理する方法
US11462412B2 (en) Etching method
JP2016076620A (ja) 被処理体を処理する方法
US20220122840A1 (en) Method for processing workpiece
JP2018182310A (ja) 被処理体を処理する方法
US20210025060A1 (en) Apparatus for processing substrate
US10692726B2 (en) Method for processing workpiece
JP2018026495A (ja) 被処理体を処理する方法
US20210327719A1 (en) Method for processing workpiece
JP2018182104A (ja) 成膜方法
TWI825103B (zh) 被處理體之處理方法及電漿處理裝置
JP2006319042A (ja) プラズマクリーニング方法、成膜方法
US10916420B2 (en) Processing method and plasma processing apparatus
TW201901799A (zh) 蝕刻方法
CN110581050A (zh) 处理方法和等离子体处理装置
KR102670464B1 (ko) 피처리체를 처리하는 방법
TWI836576B (zh) 電漿處理裝置及電漿處理方法